当前位置:文档之家› 三位数字显示计时定时器设计

三位数字显示计时定时器设计

三位数字显示计时定时器设计
三位数字显示计时定时器设计

课程设计报告

课程设计名称:三位数字显示计时定时器设计

专业班级: 0212112

学生姓名:黎林

学号: 021211219 同组人员:

指导教师:李洪芹

课程设计时间: 2周

目录

1 设计任务、要求以及文献综述 (1)

2 原理叙述和设计方案 (1)

2.1 设计方案选择和论证 (1)

2.2 电路的功能框图及其说明 (1)

2.3 功能块及单元电路的设计、计算与说明 (2)

2.4 总体电路原理图 (4)

3 电路的仿真与调试 (4)

3.1 电路仿真 (4)

3.2 调试中出现的问题及解决方法 (5)

4 制作与调试 (6)

4.1元件清单、实物照片 (6)

4.2制作与调试过程中遇到的问题及解决办法 (7)

5心得体会 (8)

6 参考文献 (8)

附录 (8)

三位数字显示计时定时器设计

1 设计任务、要求以及文献综述

3位数字显示计时定时器是一个典型的利用数字系统的例子。所谓数字系统,是指由若干数字电路及逻辑部件组成并且能够进行采集、加工、处理及传送数字信号的设备。一个完整的数字系统通常由输入电路、输出电路、控制电路、若干个子系统和时基电路等部分组成。设计要求如下:

1、计时功能。能任意启停,保持计时结果;

2、开机自动复位;

3、最大计时显示为9分59秒;

4、定时报警。

2 原理叙述和设计方案

2.1 设计方案选择和论证

方案一:设计的3位数字显示计时定时器则分别有4个子系统组成:秒脉冲时间标准产生电路、计数器、译码器和显示器、开机自动清零电路、计时启停控制电路

方案二:实现一个三位数字显示的秒表系统,单片机,lcd1602显示屏,矩阵键盘等组成部分。秒计数电路满60向分计数电路进位(显示00~59s),分计数电路满足10(显示0~9)后停止并且灯亮,等待重新复位计时。

论证:方案二比方案一好。

理由一:方案二显示的最终结果比较直观。

理由二:方案二可更改性好,方便日后的改进。

2.2 电路的功能框图及其说明

根据原理正确、易于实现、且实验室有条件实现的原则确定设计方案,画出总体设计功能框图,如图2.1所示。

图2.1 三位数字显示计时定时器功能框图

单片机:对LCD1602的显示控制,还能实现计时启停的控制。

LCD1602:将结果以直观的形式表现出来。

2.3 功能块及单元电路的设计、计算与说明

4×4矩阵键盘

当键盘中按键数量较多时,为了减少对I/O口的占用,通常将按键排列成矩阵形式,也称为行列键盘,这是一种常见的连接方式。矩阵式键盘由行线和列线组成,按键位于行、列的交叉点上。当键被按下时,其交点的行线和列线接通,相应的行线或列线上的电平发生变化,MCU通过检测行或列线上的电平变化可以确定哪个按键被按下。如图2.2所示。

图2.2 4×4矩阵键盘

显示程序

本系统使用LCD1602实现显示,只要参照LCD1602的使用手册进行初始化和程序编写就可以显示,即在程序编写中添加LCD1602的驱动程序。这里的驱动程序主要包括:初始化函数、设置显示坐标、写字符函数及写字符串函数。用LCD1602实现对时间的显示。LCD1602的部分读写控制时序程序如图2.3所示:

图2.3 LCD1602显示屏

2.4 总体电路原理图

图2.4三位数字显示计时定时器电路原理图

如图2.4所示,通过对单片机编程,对LCD1602显示屏先初始化,然后开始进行走数。矩阵键盘就用右边的三个按键,分别实现启停,对数字加,对数字减的功能。当时间到达9分59时,灯亮并且计时停止。

3 电路的仿真与调试

3.1 电路仿真

利用电子线路仿真软件Protues,将所设计的电路原理图在Protues 界面下创建并用其仪器库中的模拟仪表进行仿真测试。若发现问题,立即修改参数,重新调试直至得到满意的设计。如图3.1

图3.1三位数字显示计时定时器仿真结果

3.2 调试中出现的问题及解决方法

将编好的程序导入到单片机,调试时有时候发现,显示的时间走的太快,这个时候对程序进行修改便可。

有时候会发现找不到我需要的元器件,这个时候就要上网查找相似的

元器件进行代替。

4 制作与调试

4.1元件清单、实物照片

元件清单:

图4.1三位数字显示计时定时器元件清单实物:

图4.2三位数字显示计时定时器实物

4.2制作与调试过程中遇到的问题及解决办法

焊接元件时期:

一:焊接是没有严格按照仿真图焊接,导致运行结果出不来,后来去实验室把实物板的焊接改回来。

二:焊接单片机时候,因为管脚难插进板子,用力太猛,导致某些管脚不能用。解决方法是换其他好的管脚继续焊接。

三:焊接震荡电路时候,忘记接地了,后来修改回来了。

编程时期:

一:思路卡住时候,多想想,慢慢就有思路了。

二:编程时候要注意逻辑思路和代码安放位置,我之前思路搞错了,导致遇到瓶颈,画了很多时间和请教别人,最终在仿真的时候才得到要的结果。

5心得体会

经过一段时间的努力,该项设计也快接近尾声了,但遗憾的是我的实物虽然做出来了,但是经过多次调试还是没有出现预期的结果。

在设计实物时,不但要你有紧密的逻辑思维能力,还要细心、有耐心。元件多,布线多,钻的孔自然也多。一不留神把焊盘打没了,就会给焊接带来很大的难度。而且孔很多,一定要慢慢焊接,工程量确实很大。在调试过程中,一定要细心、耐心,一点小小的断线都会导致整个电路无法工作。可以说,调试时本次设计的难点。但是遗憾的是,经过多次的调试,我的设计却不能出现预期的结果,让我有点头痛。于是,我开始从硬件焊接上找找自己的原因,向老师询问后,从输出到输入一级一级开始找自己的焊接错误,在比对仿真图的时候从中也发现了一些错误,但在焊接正确后还是不能出现结果。然后,我就开始在我的程序里面找一下可能的错误,最后由于能力有限和时间问题,还是没能找到错误,有点失败。

在次课程设计中,不仅培养了我们综合应用所学知识解决实际问题的能力,也提高了我的动手能力,让我学会了独立思考问题,并通过各种途径来解决问题。此次课程设计不单是一个实验设计,也是善于思考的最佳机会。在这个过程中,我懂得了很多专业知识,也学到了很多运用技巧。更大的一点是:激起

了我对实验设计这方面的兴趣。

虽然在此过程中遇到很多挫折及困难,也很有多情绪问题。比如说,气馁,灰心等情绪。但是由于水平有限,我的课程设计还没有完善,存在一些错误,我还想在后期改进该设计功能,让其实现原有功能基础之上,还要实现动态显示数码管。但我却连基本的计时功能还没实现,有点遗憾。

6 参考文献

[1] 陈晰.数字电路试验技术基础.北京:电子工业出版社,1999

[2] 李元.数字电路与逻辑设计.南京:南京大学出版社,1997

[3] 郝波.数字电子技术,西安:西安电子科技大学出版社,2004

[4] 郭斌.数字逻辑电路.北京:电子科技大学出版社,1995

[5] 程震先.数字电路实验与应用.北京:北京理工大学出版社,1999

[6]曹琳琳.单片机原理及接口技术.国防科技大学出版社,200

附录

三位数字显示计时定时器设计源程序:

#include

#define uchar unsigned char

#define uint unsigned int

sbit rs=P0^5;

sbit rw=P0^6;

sbit e=P0^7;

sbit led=P0^1;

sbit keyg=P2^0;

sbit key1=P2^7;

sbit key2=P2^6;

sbit key3=P2^5;

uint num,count,ge,shi,a,flag,flag1;

int fen,miao;

uchar code table[]="ding shi qi";

uchar code table1[]=" 00:00";

/***延时函数***/

void delay(uchar z)

uchar x,y;

for(x=110;x>0;x--)

for(y=z;y>0;y--);

}

/***写指令***/

void write_zl(uchar zl)

{

rw=0;

rs=0;

e=0;

P1=zl;

delay(5);

e=1;

delay(5);

e=0;

}

/***写数据***/

void write_sj(uchar sj)

{

rw=0;

rs=1;

e=0;

P1=sj;

delay(5);

e=1;

delay(5);

e=0;

}

/***分秒动态显示***/

void write_sm(uchar add,uchar date) {

shi=date/10;

ge=date%10;

write_zl(0x80+0x40+add);

write_sj(0x30+shi);

write_sj(0x30+ge);

}

/***初始化***/

void init()

led=0;

write_zl(0x38);

write_zl(0x0c);

write_zl(0x06);

write_zl(0x01);

write_zl(0x80);

for(num=0;num<11;num++)

{

write_sj(table[num]);

delay(2);

}

write_zl(0x80+0x40);

for(num=0;num<9;num++)

{

write_sj(table1[num]);

delay(2);

}

TMOD=0x01;

TH0=(65536-50000)/256;

TL0=(65536-50000)%256;

EA=1;

ET0=1;

TR0=1;

}

/***键盘扫描***/

void keyscan()

{

keyg=0;

/****************第一按键**************************/

if(key1==0)

{

delay(5);

if(key1==0) /**消抖**/

{

a++; /**a表示按第一个键盘的次数**/

while(!key1);

delay(2);

while(!key1); /**判断是否松手**/

if(a==1) /**秒处暂停,光标在秒处闪烁**/

{

TR0=0; /**定时器关**/

write_zl(0x0f);

write_zl(0x80+0x40+8);

}

if(a==2) /**秒处暂停,光标在分处闪烁**/

{

write_zl(0x80+0x40+5);

}

if(a==3) /**秒处走动,光标隐藏**/

{

a=0;

TR0=1;

write_zl(0x0c);

}

}

}

/****************第二按键**************************/

if(a!=0)

{

if(key2==0)

{

delay(5);

if(key2==0)

{

while(!key2);

delay(2);

while(!key2);

if(a==1) /***按一下,秒处数字加1***/

{

miao++;

if(miao==60)

{

miao=0;

}

write_sm(7,miao);

write_zl(0x80+0x40+8);

}

if(a==2) /***按一下,分处数字加1***/

{

fen++;

if(fen==10)

{

fen=0;

}

write_sm(4,fen);

write_zl(0x80+0x40+5);

}

}

}

}

/****************第三按键**************************/

if(a!=0)

{

if(key3==0)

{

delay(5);

if(key3==0)

{

while(!key3);

delay(2);

while(!key3);

if(a==1) /***按一下,秒处数字减1***/

{

miao--;

if(miao==-1)

{

miao=59;

}

write_sm(7,miao);

write_zl(0x80+0x40+8);

}

if(a==2) /***按一下,分处数字减1***/

{

fen--;

if(fen==-1)

{

fen=9;

}

write_sm(4,fen);

write_zl(0x80+0x40+5);

}

}

}

}

}

/***主函数***/

void main()

{

init();

while(1)

{

if(count==20)

{

count=0;

miao++;

if(miao==60)

{

miao=0;

fen++;

if(fen==10)

{

fen=0;

}

write_sm(4,fen);

}

write_sm(7,miao);

}

if(fen==9&&miao==59)

{

TR0=0;

led=1;

}

keyscan();

}

}

/***定时器***/

void timer0() interrupt 1

{

TH0=(65536-50000)/256;

TL0=(65536-50000)%256;

count++;

}

(完整版)电子倒计时定时器设计毕业设计论文

江苏信息职业技术学院 毕业设计(论文) 题目:电子倒计时定时器设计 摘要 随着时代的进步,电子技术的发展,倒计时定时器得到了越来越广泛的应用,给人们日常的生活、学习、工作、娱乐带来便利,电子定时器相比普通的定时器来事具有体积小、重量轻、造价低、精度高等特点。 本设计主要采用51系列单片机,通过硬件电路设计和软件编程设计来实现,硬件主要包括主控模块,时间显示模块,键盘设置模块,报警器模块的设计,软件编程主要采用C语言,虽然程序条数比较多,但是设计起来比较方便,可通过Keils软件进行调试。

此次倒计时定时器采用单片机AT89S51为核心,利用时钟芯片DS1302来显示一天的时间,系统通电后利用数码管自动显示当前时间,通过键盘可以调整时间,分别对时、分、秒进行加减,也可以通过键盘转换成倒计时模式,最大倒计时时间为59分59秒,而且误差很小,当倒计时为零时蜂鸣器进行报警,指示灯变亮,倒计时功能关闭则显示当前时间,操作简单方便。 关键词:时钟芯片;AT89S51;倒计时;DS1302

目录 摘要 (1) 第1章绪论 (5) 1.1课题的学术背景及其实际意义 (5) 1.2相关领域的成果及存在的不足 (5) 1.3课题来源及主要研究内容 (5) 第2章倒计时定时器的结构、原理及设计方案 (7) 2.1 单片机的发展概况 (7) 2.2 51单片机的内部结构 (7) 2.3 设计要求、方案及框图 (9) 2.1.1设计要求 (9) 2.1.2设计方案 (9) 2.1.3设计框图 (10) 第3章硬件电路设计 (11) 3.1ATS89C51单片机介绍 (11) 3.2时钟模块 (13) 3.2.1DS1302简介 (13) 3.2.2 (14) 3.2.3时钟电路设计 (15) 3.2.4时钟复位电路 (15) 3.3键盘模块 (16) 3.4显示模块 (17)

单片机课程设计6位数字显示计时(DOC)

单片机 课程设计报告书 课题: 6 位数显频率计数器院(系):机电工程学院 专业:机械设计制造及其自动化 姓名: 学号: 2014年1月14日

目录 一、前言---------------------------------------------------- 1.1、课程设计任务------------------------------------------------ 1.2、课程设计任务完成要求------------------------ 1.3、设计目的----------------------------------------------------- 二、方案提出与论证------------------------------------- 2.1频率测量原理与方法----------------------------------- 三、系统硬件设计---------------------------------------- 3.1电路原理图总图------------------------------------------------- 3.1.1电源供电电路-------------------------------------------------- 3.1.2 单片机时钟电路---------------------------------------------- 3.1.3单片机复位电路----------------------------------------------- 3.1.4显示及驱动电路----------------------------------------------- 3 .2 AT89C51单片机芯片的功能及其参数------------------ 四、系统软件设计---------------------------------------- 4.1软件流程图------------------------------------------------------- 4.2程序调试及误差分析------------------------------------------- 五、制作PCB板及焊接元件调试-------------------- 六、元器件明细表---------------------------------------- 七、实验总结------------------------------- 八、参考文献----------------------------------------------

倒计时定时器设计

一、倒计时定时器设计 1、20秒、30分钟到计时计数器 1、1 设计要求: 20s倒计时定时器:倒计时由按钮启动,计时精度0.1s,在数码管中显示倒计时值。 30分钟倒计时定时器:倒计时由按钮启动,计时精度1s,在数码管中显示倒计时值。 1、2设计的作用目的: 此次设计是我们更进一步了解基本电路的设计流程,提高自己的设计理念,丰富自己的理论知识,巩固所学知识,使自己的动手动脑能力有更进一步提高,为自己今后的学习和工作打好基础,为自己的专业技能打好基础。通过解决实际问题,巩固和加深“单片机原理与应用”课程中所学的理论知识和实验能力,基本掌握单片机应用电路的一般设计方法,提高电子电路的设计和实验能力,加深对单片机软硬知识的理解,获得初步的应用经验,为以后从事生产和科研工作打下一定的基础。本次设计注重对单片机工作原理以及键盘控制及显示原理的理解,以便今后自己在单片机领域的学习和开发打下基础,提高自己的动手能力和设计能力,培养创新能力,丰富自己的理论知识,做到理论和实践相结合。本次设计的重要意义还在于对单片机的内部结构和工作状态做更进一步的了解,同时还对单片机的接口技术,中断技术,存储方式和控制方式作更深层次的了解。 1、3问题分析: 在电子技术飞速发展的今天,电子产品的人性化和智能化已经非常成熟,其发展前景仍然不可估量。如今的人们需求的是一种能给自己带来方便的电子产品,当然最好是人性化和智能化的,如何能做到智能化呢?单片机的引入就是一个很好的例子。单片机又称单片微型计算机,也称为微控制器,是微型计算机的一个重要分支,单片机是20世纪70年代中期发展起来的一种大规模集成电路芯片,是集CPU,RAM,ROM,I/O接口和中断系统于同一硅片上的器件。单片机的诞生标志着计算机正式形成了通过计算机系统和嵌入式计算机系统两个分支。目

单片机倒计时定时器

单片机倒计时定时器 2011-11-1 这里我们先要安装好51试验板上的两个轻触按钮开关,我们采用的是独立式按钮开关,也就是说将开关直接连接到电源的地和单片机的对应引脚之间,这里K1接到单片机的P3.6引脚,K2接到P3.7正常情况下单片机的 P3.6P3.7都被程序初始化时置1 当有按键按下时对应的单片机引脚被按钮开关下拉为0,这种方法比较直观,而且比较简单,在按键数量不多的场合下使用很广泛 因为机械开关开关时有抖动,所以需要在程序中加一个软件去抖动程序,它的工作原理如下:当单片机检测到有按键被按下后立即执行一个10毫秒的延时程序,然后再在检测该引脚是否仍然为闭合状态?如果仍然为闭合说明确认该键被按下立即执行相应的处理程序,否则可能是干扰,丢弃这次检测结果 接下来我们再安装一个四位的拨码开关,就是图中红色的开关,它相当于四个装在一起的拨动开关,当开关拨到"ON"一侧时,对应的那路就会接通,反之断开.它在单片机中一般用于设置初始参数,而且不经常改变的场合这里因为单片机引脚资源不够,所以我们只使用了拨码开关的第234位,第1位闲置三个开关可以逻辑组合出8种状态,所以我们能够方便灵活地预置多达7种的倒计时时间 最后我们来安装两个继电器和相关电路,有了继电器我们的实验板不再仅仅是做做实验而已,可以用于控制一些负载,比如说:充电器,洗衣机,电风扇等,使我们的实验板的实用功能大大增强,这也是电子制作实验室网站的单片机实验板和其他公司的产品不同的地方

这里继电器由相应的S8050三极管来驱动,开机时,单片机初始化后的P2.3/P2.4为高电平,+5伏电源通过电阻使三极管导通,所以开机后继电器始终处于吸合状态,如果我们在程序中给单片机一条:CLR P2.3或者CLR P2.4的指令的话,相应三极管的基极就会被拉低到零伏左右,使相应的三极管截至,继电器就会断电释放,每个继电器都有一个常开转常闭的接点,便于在其他电路中使用,继电器线圈两端反相并联的二极管是起到吸收反向电动势的功能,保护相应的驱动三极管,这种继电器驱动方式硬件结构比较简单

AVR定时器中断初值计算方法

AVR定时器中断初值计算方法 使用芯片ATMega16外部晶振 定时器1(16位定时器)寄存器TCCR1B=0x04设定256预分频要利用定时器定时1秒 1,4000000/256=15625说明定时器每当1/15625秒就会触发一次中断 2,65535-15625=49910计算出要累加多少次才能在1秒后出发定时器1的溢出中断 3,49910<==>C2F6将计算后的值换算成16进制 4,TCNT1H=0xC2;对寄存器赋值 TCNT1L=0xF6; ================================================= 例如用16位定时器TIMER1,4MHZ晶振,256分频,100ms定时,如何求得初值赋给TCNT1 65536-(4M/256)*= 其中,4M是晶体频率,是定时时长单位秒。 对于8位的定时器 T=(2^8-计数初值)*晶振周期*分频数=(2^8-计数初值)/晶振频率*分频数计数初值=2^8-T/晶振周期/分频数=2^8-T*晶振频率/分频数

因为AVR一指令一周期 IARForAVR精确延时 C语言中,想使用精确的延时程序并不容易。IAR中有这样的一个函数__delay_cycles(),该函数在头文件中定义,函数的作用就是延时N个指令周期。根据这个函数就可以实现精确的延时函数了(但不能做到100%精确度)。 实现的方法: 建立一个的头文件: #ifndef__IAR_DELAY_H #define__IAR_DELAY_H #include<> #defineXTAL8//可定义为你所用的晶振频率(单位Mhz) #definedelay_us(x)__delay_cycles((unsignedlong)(x*XTAL)) #definedelay_ms(x)__delay_cycles((unsignedlong)(x*XTAL*1000)) #definedelay_s(x)__delay_cycles((unsignedlong)(x*XTAL*1000000 )) #endif

三位数显示计时器定时器数电课程设计

文档大全 沈阳航空航天大学 课程设计三位数字显示计时器定时器设计 班级 学号 学生姓名 指导教师

文档大全 沈阳航空航天大学 课程设计任务书 课程名称电子线路课程设计 课程设计题目三位数字显示计时器定时器设计 课程设计的内容及要求: 一、设计说明与技术指标 设计一个三位数字显示计时器、定时器电路,技术指标如下: ①计时、定时能够任意启停,保持计时、定时结果; ②开机自动复位; ③最大显示时间为9分59秒; ④设置时间,定时报警; 二、设计要求 1.在选择器件时,应考虑成本。 2.根据技术指标,通过分析计算确定电路和元器件参数。 3.画出电路原理图(元器件标准化,电路图规范化)。 三、实验要求 1.根据技术指标制定实验方案;验证所设计的电路,用软件仿真。 2.进行实验数据处理和分析。 四、推荐参考资料 1. 童诗白,华成英主编.模拟电子技术基础.[M]北京:高等教育出版社,2006年 五、按照要求撰写课程设计报告

文档大全 成绩评定表: 序号 评定项目 评分成绩 1 设计方案正确,具有可行性,创新性( 15分) 2 设计结果可信(例如:系统分析、仿真结果)(15分) 3 态度认真,遵守纪律(15分) 4 设计报告的规范化、参考文献充分(不少于5篇)(25分) 5 答辩(30分) 总分 最终评定成绩(以优、良、中、及格、不及格评定) 指导教师签字: 2016年 12月 31日

文档大全 一、概述 此次课设题目为及时定时系统,计时器和定时器在人们日常生活中有着广泛的应用, 本次课程就是设计利用555定时器以及数字逻辑芯片和数码管实现数字电子计时器和定时器功能,能够满足基本的功能要求,电路要求由可控脉冲发生器、计数电路,显示数码管电路以及报警电路组成。旨在通过这次课程设计实现知识的活学活用,能够将知识运用到实践中去,数字电路分为组合电路和逻辑电路,而本次课设则是基于对逻辑同步或者异步知识的掌握,能够根据题目选用相应的芯片,设计相应的电路,是本次实验最大的目的。 二、方案论证 方案一:使用数字电路的原理设计本方案,方案一利用555定时器以及数字逻辑芯片和数码管实现数字电子计时器和定时器功能,电路要求由可控脉冲发生器、计数电路,显示数码管电路以及报警电路组成。使用555多谐振荡器产生脉冲信号,使用数字芯片进行计数,通过LED 进行报警最后使用显示译码器显示计数。所以一共有四部分组成。 图1 总体电路的原理框图 方案二: 方案二采用单片机编程进行设计实现计时器或者定时器的设计。

三位数字显示的计时系统_课程设计

三位数字显示的计时系统设计

正文 1、设计总体思路 1.1课程设计要求 设计并制作一个简易的三位数字显示计时系统,供运动员比赛计时用,要求如下: 1)精确到秒,最大计时为9分59秒; 2)开机时自动清零; 3)具有启停输入控制功能,按下启停输入控制键时,开始计时,再次按 下时,停止计时。 4)用7段数码管显示时间; 5)功能扩展(自选) 1.2设计目的 1)进一步熟悉和掌握常用数字电路元器件的应用。 2)巩固加深理解数字电路的基本理论知识,学习基本理论在实践中综合 运用的初步经验,掌握数字电路系统设计的基本方法及在面板上接线的方法、技术、要注意的问题。 3)培养数字电路实物制作、调试、测试、故障查找和排除的方法。 4)培养细致、认真做实验的习惯。 5)培养实践技能,提高分析解决实际问题的能力。 6)学会运用仿真软件进行电路仿真。 7)熟悉555定时器产生脉冲的应用。

1.3设计总体思路 1)主电路 用3个7段数码管显示时间,3块74LS192同步加减计数器实现计时功能,时钟脉冲用555定时电路产生秒脉冲,1块74LS76实现清零和启停功能。 2)控制电路 按下清零按钮后,使74LS192的清零端为高电平,使其清零。 按下暂停/继续按钮后,使74LS192的CP端为高电平,不再有上升沿输入,使其保持之前的数据不变,再次按下按钮后,74LS192的CP端为 低电平,当下一个高电平输入时,将有上升沿,使74 LS192计数,从而实现暂停/继续功能。 2、基本原理及框图 1)基本原理 开机启动后,给第3块74LS192一个信号,当上升沿到来时,74LS192的输出端输出加1,直到输出为9时,产生进位信号,送到第2块74LS192的UP端,直到第2块74LS192的输出为6时,使其置数为0,同时给第一块74LS192的UP端一个信号使其输出加1,循环进行。74LS192的功能表见下表。

任意时间倒计时计数器的设计

任意时间倒计时计数器的设计 摘要:本设计实现任意秒数倒计时的功能,首先利用555定时器连接电阻和电容改装成多谐振荡器,将输入脉冲经电路转换输出矩形波,并改变电容和电阻的参数使输出周期为1秒。计数部分用74LS192芯片来实现,74LS192芯片是8421码计时的,符合任意几十秒读数的需要。译码部分采用74LS48芯片,74LS48是把8421BCD码经过内部作用和电路“翻译”成七段输出,然后直接推动LED,显示十进制数。此设计功能完善,可以直接清零,启动和暂停/连续计时, 进而实现断点计时,同时还应用了七段数码管来显示时间。当计数器显示的数字递减到零的时候,会发出光电报警信号。其设计由计时模块、控制模块、以及译码显示模块3个部分组成。 关键词:计时器;报警;芯片模块化

The Design of Any Time Countdown Counter Abstract:The design implements the function of 30 seconds countdown , At first ,use a 555 timer concussion connected with resistor and capacitor converted into a multivibrator, which can inverter the input pulse into a circuit output square wave,and change the parameters of capacitance and resistance so that the output cycle is a second. The counting part is realized by 74ls192 chip,and 192 chip is timed at 8421 yards , which can meet the need of 30 seconds reading. Decoding part adopts 74ls48 chip, 74ls48 is at the 8421 BCD by internal function and circuit "translate" into seven period of output, then directly promote LED, and shows a decimal number.The function of this design is perfect, it can directly reset, start and stop/continuous time, then realize breakpoint time, at the same time it also uses seven digital tube to display time. When the counter of the digital display diminishing to zero, it will have a photoelectric alarm signal. It is designed by hourly module, control module, and decode display module 3 parts. Keywords: The timer photoelectric; Alarm; Modular

51单片机定时器初值的计算

51单片机定时器初值的计算 一。10MS定时器初值的计算: 1.晶振12M 12MHz除12为1MHz,也就是说一秒=1000000次机器周期。10ms=10000次机器周期。65536-10000=55536(d8f0) TH0=0xd8,TL0=0xf0 2.晶振11.0592M 11.0592MHz除12为921600Hz,就是一秒921600次机器周期,10ms=9216次机器周期。 65536-9216=56320(dc00) TH0=0xdc,TL0=0x00 二。50MS定时器初值的计算: 1.晶振12M 12MHz除12为1MHz,也就是说一秒=1000000次机器周期。50ms=50000次机器周期。65536-50000=15536(3cb0) TH0=0x3c,TL0=0xb0 2.晶振11.0592M 11.0592MHz除12为921600Hz,就是一秒921600次机器周期,50ms=46080次机器周期。 65536-46080=19456(4c00) TH0=0x4c,TL0=0x00 三。使用说明 以12M晶振为例:每秒钟可以执行1000000次机器周期个机器周期。而T 每次溢出 最多65536 个机器周期。我们尽量应该让溢出中断的次数最少(如50ms),这样对主程序的干扰也就最小。开发的时候可能会根据需要更换不同频率的晶振(比如c51单片机,用11.0592M的晶振,很适合产生串

口时钟,而12M晶振很方便计算定时器的时间),使用插接式比较方便。 51单片机12M和11.0592M晶振定时器初值计算 2011-01-04 22:25 at89s52,晶振频率12m 其程序如下: 引用代码:#include #include void timer0_init() { TMOD=0x01;//方式1 TL0=0xb0; TH0=0x3c; TR0=1; ET0=1; } void timer0_ISR(void) interrupt 1 { TL0=0xb0; TH0=0x3c;//50ms中断一次 single++; if(single==20) { kk++; single=0; } } void main() { int kk=0;//计数器 int single=0; timer0_init(); } TL0=0xb0; TH0=0x3c; 这两个是怎么算出来得

电路CAD课程设计 三位数字显示器

太原理工大学现代科技学院电路CAD 课程设计 设计名称三位数字显示器 专业班级 学号 姓名 指导教师

太原理工大学现代科技学院 课程设计 太原理工大学现代科技学院 课程设计任务书 指导教师签名: 日期 专业班级 学生姓名 课程名称 电路CAD 设计名称 三位数字 显示器 设计周数 1.5周 指导教师 设计 任务 主要 设计 参数 设计任务: 1. 三位数字显示器 2. 实现九分五十九秒计时 设计参数: 脉冲频率:1.024kHz 的方波源 设计内容 设计要求 1.设计电路并绘制原理图; 2.对原理图进行规则检查并产生报告; 3.对原理图进行仿真; 4.生成网络表; 5.规划电路板; 6.设置布线规则; 7.绘制出PCB 板图; 8.写出设计报告 主要参考 资 料 [1]康华光,电子技术基础,北京,高等教育出版社,2003年 [2]何小艇,电子系统设计,杭州,浙江大学出版社,2001年6月 [3]徐志国,荀殿栋,数字电路设计实用手册,电子工业出版社,2003年4月 [4]陈大钦,电子技术基础实验,北京,高等教育出版社,2008年7月 [5]电子元件数据搜索引擎http ://https://www.doczj.com/doc/6c12248186.html,/ [6]郑鹏思,林远长,董前程,等编著,Protel 99SE 入门与典型实例,北京,人民邮电出版社 [7]电路CAD 讲义.萧宝瑾.太原理工大学 学生提交 归档文件 见课程设计报告

三位数字显示器 摘要 随着电子技术的发展,电子技术在各个领域的运用也越来越广泛。人们对它的认识也逐步加深。在秒表的设计上功能不断完善,在时间的设计上不断的精确,人们也利用了电子技术以及相关的知识解决了一些实际问题。电子秒表广泛应用于对运动物体的速度、加速度的测量实验,还可用来验证牛顿第二定律、机械能守恒等物理实验,同时也适用于对时间测量精度要求较高的场合,如测定短时间间隔的仪表。秒表有机械秒表和电子秒表两类。机械秒表与机械手表相仿,但具有制动装置,可精确至百分之一秒;电子秒表用微型电池作能源,电子元件测量显示,可精确至千分之一秒,广泛应用于科学研究、体育运动及国防等方面。目前数字电子技术已经广泛地应用于计算机、自动控制、电子测量仪表、电视、雷达、通信等各个领域。随着现代社会的电子科技的迅速发展,要求我们要理论联系实际,数字电路课题设计的进行使我们有了这个非常好的机会。本设计就是利用所学到的电子元器件将脉冲源用数码管显示出来以制成简易的数字秒表。 关键词数字秒表计时

倒计时定时器电路设计

目录 摘要 (1) 第1章概述 (2) 第2章电路设计方案 (3) 2.1 总体电路设计方案 (3) 2.2 单元功能模块设计 (4) 2.2.1 秒信号发生器 (4) 2.2.260分频器电路设计 (5) 2.2.3 减法计数器 (6) 2.2.4 译码显示电路 (9) 2.2.5 执行电路 (11) 第3章整机电路原理 (13) 第4章仿真 (14) 总结 (16) 致谢 (17) 参考文献 (18) 附录1电路原理图 (19) 附录2仿真图 (20)

摘要 本设计主要由减法计数器、译码显示器、秒信号发生器、分频器、执行路等构成,能实现倒计时、定时和控制执行电路工作的功能。 倒计时计数末了时,继电器动作,控制用电器动作。其中时钟信号是由多谐振荡器产生的1Hz秒脉冲信号,而且秒脉冲信号可以通过分频器产生出1/60Hz的分脉冲信号,然后通过开关选择秒脉冲或分脉冲信号通过开关选择输入电路,对倒计时计数器进行触发,计数器由置数开关输入的预置数开始进行计数,其中数字由译码数码管显示,直到倒计时末了,产生信号使继电器工作,从而通过控制开关控制受控电器的开或关。 所以,此设计相当于构造了一个电器的控制开关,能够灵活定时电器的工作时间,从而使电器的开关更加方便。 关键词计数器;译码器;显示器;分频器

第1章概述 倒计时计数器的用途很广泛。它可以用作定时,控制被定时电器的工作状态,实现定时开或者定时关,最长定时时间为99分钟。他还可以用做倒记时记数,最长记时时间为99秒,而且有二位数码管显示记数状态,可以灵活的对受控电器进行定时开关。 倒计时计时器的核心器件是可预制数减计数器IC3、IC4,其初始数由拨码开关S1、S2设定,其输出状态由BCD码七段译码器IC1、IC2译码后驱动LED数码管显示。门电路D1、D2产生秒信号脉冲,以及经IC5等60分频后得到的分信号脉冲。由开关S4选择后作为时钟脉冲送入减计数器的CP端。 当按下启动按钮S3后,S1、S2设定的预置数进入减计数器,数码管显示出该预置数,然后计数器就在时钟脉冲CP的作用下减计数,数码管做同步显示。当倒计时结束,减计数器显示为00时,输出高电平使VT1、VT2导通,继电器K1吸合,其常开接点K1-1闭合,接通被控电器,被控电器开始工作;其常闭接点K1-2断开,切断被控电器,使此工作结束工作。同时,自带音源讯响器发出提示音。 在这个数字化的时代,倒计时定时器随处可见,在人们的生活和工作中,倒计时定时器的应用也越来越广泛。在医学设备、在交通方面、比赛场合等,特别是在一些数字化、智能化设备上倒计时定时器得到了很好的应用。我相信,倒计时定时器的发展前景会越来越好。

三位数字显示计时器

专业班级 学号 姓名 成绩 一、实验名称 3位数字显示计时系统 二、设计任务与要求 设计一个3位数字显示时间计数系统,以供运动员比赛用。要求精确到秒最大计时9分59秒。可以用按钮开关控制计时器的启动、停止及清零,开机时可以自动清零。 三、实验器件 1.74LS90 74LS08 2.数字电路试验箱 四、方案设计 数字显示计时系统是通过控制电路使用加法计数器对连续脉冲进行计数, 而加法计数器通过译码器来显示它所记忆的脉冲周期个数。 1.连续脉冲产生 连续脉冲可选用555定时器构成的多谐振荡器产生,用555定时器构成的多谐振荡器,定时元件是电阻和电容,普通器件误差较大易受温度变化的影响,对于对时间要求高的应用场合,其误差较大。连续脉冲也可选用石英晶体振荡器通过计数器分频产生,可获得精确的秒脉冲信号。 2.技术及译码显示 加法计数器构成电子秒表的计数单元,首先用一个分频器对多谐振荡器产生的脉冲信号进行分频,然后将输出端取得周期为1s 的矩形脉冲送入计数器中,计数器都接成8421码十进制形式,其输出端与译码显示单元的相应输入端连接,可显示0~59秒;0~9分计时。 3.控制部分 控制部分用来控制计时器的清零、计时、停止。采用三位环形计数器来实现,环形计数器的输出分别作为计数部分的清零信号、计时信号和停止信号。 ……………………………………装………………………………………订…………………………………………线………………………………………

五、实验原理 1.系统框图如下 2.系统工作原理 实现一个三维数字显示的秒表系统,需要振荡器(脉冲冲源)、秒计数电路季译码显示电路等组成部分。秒计数电路满60向分计数电路进位(显示00~59s ),分计数电路满足10(显示0~9)后清零,等待重新计时。控制开关为两个;启动(继续)/暂停计时开关和复位开关。其中: (1)显示器:采用三片LED 显示器把各位的数值显示出来,是秒表最终的输出,有分、秒和毫秒位。 (2)计数器:对时钟信号进行计数并进位,毫秒和秒之间10进制,秒和分之间60进制。 (3)译码器:对脉冲计数进行译码输出到显示单元中; 3.元器件简介 74LS90计数器是一种中规模二一五进制计数器,管脚引线及其功能表如下。 输入 输出 CP R 01 R 02 S 91 S 92 Q D Q C Q B Q A × 1 1 0 × 0 0 0 0 1 1 × 0 0 0 0 0 × × 1 1 1 0 0 1 ↓ × 0 × 0 计数 0 × 0 × 0 × × 0 × 0 0 ×

数电课程设计-30秒倒计时器

. 定时电路的设计 ——数字逻辑课程设计 学院:计算机学院 专业班级:计科13

时间:2015年1月4日 目录 设计要求 (3) 正文 一、倒计时器组成及原理 (3) 1.1倒计时计数器组成 (3) 1.2工作原理 (3) 二、拟定设计方案 (4) 2.1用Multisim进行仿真设计 (4) 2.2设计实现数码管显示 (4) 2.3设计555定时振荡实现秒振荡发生功能 (4) 2.4设计实现减法计数功能 (5) 2.5设计实现二位数减法计数功能 (5) 2.6设计实现反馈电路实现30秒计数功能 (5) 2.7设计实现控制电路实现启动、清零/复位和暂停/继续计数控制电路 (5) 2.7.1清零/复位电路 (5) 2.7.2暂停/继续计数电路 (6) 2.7.3启动电路 (7) 2.8设计实现闪烁报警电路 (8) 三、功能说明总结 (9) 四、课程设计小结 (9) 参考文献 (10) 附录: 一、电路原理图 (11) 二、元器件明细表 (11)

设计要求: 设计30秒倒计时计数器。 30秒倒计时器的设计功能要求包括: 1.具有30S减计时功能,计时时间到后发出声光报警信号(点亮LED,喇叭鸣叫); 2.计时时间精确(用石英振荡器产生秒信号); 3.用数码管显示剩余时间; 4.具有复位、启动、暂停、继续等操作按钮; 正文: 一、倒计时器组成及原理 1.1倒计时计数器组成 倒计时计数器选用TTL集成电路,主要由秒定时振荡发生器、减法计数器、译码器、七段数码显示器、控制电路、闪烁报警电路等组成,在电路工作过程中,电路能够通过控制器实现开始计数、清零/复位、暂停/继续计数等功能,在倒计时结束保持00状态并不断闪烁提示报警,原理图如下: 图1 1.2工作原理 当电路工作时,由555定时器组成多谐振荡器,选取适当的电容使振荡周期为1s;用两片减法计数器芯片级联组成二位数计数器,用七段数码管显示计数;控制电路通过控制减

三位数字显示计时系统

一、任务与要求: 设计并制作一个简易的三位数字显示计时系统,供运动员比赛计时用,要求如下: 1、精确到秒,最大计时为9分59秒; 2、开机时自动清零; 3、具有启停输入控制功能,按下启停输入控制键时,开 始计时,再次按下时,停止计时。 4、用7段数码管显示时间; 5、功能扩展(自选) 二、设计要求: 1、设计思路清晰,给出整体设计框图; 2、设计各单元电路,给出具体设计思路、电路器件; 3、总电路设计; 4、计算机仿真 5、安装调试电路;

目录 一. 设计总体思路 (1) 二. 单元电路设计 (2) 三. 总电路设计 (3) 四. 故障分析与改进 (4) 五. 总结与设计调试体会 (5) 六. 附录 (6)

一. 设计总体思路 设计说明: 三位数字显示计数系统电路一般由时钟信号输入装置(发生秒脉冲)、计数器、数字显示装置、和控制电路几大部分组成。秒信号和控制信号送入计数器使其进行计数及其他状态切换,把计数的结果在显示译码器中以秒的十进制数字显示出来。控制电路完成计数器的直接清零、启动计数、暂停/连续计数等状态切换,本电路的秒脉冲可采用555集成电路产生脉冲信号。 此计时系统是由555定时振荡器接成的多谐振荡器产生稳定的高频脉冲信号作为时间基准,再经分频器输出标准秒脉冲.秒计数器计满60后向分计数器进位.计数器的输出经译码器送显示器.图(1)所示为电路流程图 图(1)

1.晶体振荡器电路给计时器提供一个频率稳定准确的32768Hz的方波信号,可保证计时器的走时准确及稳定。不管是指针式的计时器还是数字显示的计时器都使用了晶体振荡器电路。一般输出为方波的数字式晶体振荡器电路通常有两类,一类是用TTL门电路构成;另一类是通过CMOS非门构成的电路,本次设计采用了后一种。如图(2)所示,由CMOS非门U1与晶体、电容和电阻构成晶体振荡器电路,U2实现整形功能,将振荡器输出的近似于正弦波的波形转换为较理想的方波。输出反馈电阻R 1 为非门提供偏置,使电路工作于放大区 域,即非门的功能近似于一个高增益的反相放大器。电容C 1、C 2 与晶体构成一 个谐振型网络,完成对振荡频率的控制功能,同时提供了一个180度相移,从而和非门构成一个正反馈网络,实现了振荡器的功能。由于晶体具有较高的频率稳定性及准确性,从而保证了输出频率的稳定和准确。 图(2) CMOS 晶体振荡器(仿真电路) 2. 时间计数电路一般采用10进制计数器如74LS160N来实现时间计数单元的计数功能。本次设计中选择74LS160N。 由其内部逻辑框图可知,其为双2-5-10 异步计数器,并每一计数器均有一个异 步清零端(高电平有效)。 3.译码驱动及显示单元电路.选择LED数码管作为显示单元电路。由译码器把输进来的二进制信号翻译成十进制数字,再由数码管显示出来。这里的LED数码管是采用共阴的方法连接的。 计数器实现了对时间的累计并以8421BCD码的形式输送到译码器芯片,再由译码器芯片把BCD码转变为十进制数码送到数码管中显示出来

基于74LS192的30秒倒计时设计_____哈工大电工实验

姓名#### 班级######## 学号####### 实验日期2015.5.26 节次5—6 教师签字成绩 基于74LS192的30秒倒计时设计 1.实验目的 1)掌握555定时器的功能; 2)了解74LS192减法计数器的功能; 3)掌握RS触发器在消除开关抖动中的应用; 4)掌握调试电路的方法; 5)掌握电路设计的基本思想和流程。 2.总体设计方案 1)30进制计数器的设计 本实验采用74LS192芯片作为计数器,74LS192是同步的加减计数器,其具有清除和置数的功能。本实验选择两片74LS192作为分别作为30的十位和个位。本实验中将作为十位的计数器输入端置为0011而将个位的输入端置为0000。将两片74LS192的置数端连出来与开关B相连,开关B控制置数端与高电平还是低电平,从而实现当30倒计时到00时,通过手动操作开关B而可以重新开始倒计时,计数器的电路连接如下图所示: 图1:计数器电路设计 2)T=1s的时间脉冲的设计 本实验采用由555定时器组成的多谐振荡器来产生周期为1s的时间脉冲,从而为30秒倒计时提供了脉冲输入。这里取R1=51kΩ,R2=47 kΩ,C=10μF。 由于震荡周期T≈0.7(R1+2R2)C=0.7×(51kΩ+2×47 kΩ)×10μF=1.015s,显然这样的设计是符合实验要求的。

图2:555定时器组成的多谐振荡器 3)RS触发器控制电路设计 将RS触发器应用到开关电路中能很好的对30秒倒计时进行控制。当B开关打到右侧时,无论A开关打到哪侧,倒计时均未开始;当B开关打到左侧时,A开关打到右侧开始倒计时,A开关打到左侧暂停倒计时。 图3:RS开关控制电路 3.实验电路图 运用Multisim13绘制的实验电路图如下所示:

FPGA定时器24s倒计时.doc

设计一个用于篮球比赛的定时器。要求: (1)定时时间为 24 秒,按递减方式计时,每隔 1 秒,定时器减1; (2)定时器的时间用两位数码管显示; (3)设置两个外部控制开关,开关 K1 控制定时器的直接复位 / 启动计时,开关 K2 控制定时器的暂停 / 连续计时;当定时器递减计时到零(即定时时间到)时,定时器保持零不变,同 时发出报警信号,报警信号用一个发光二极管指示。 (4)输入时钟脉冲的频率为 1kHz。 (5)用 Verilog HDL语言设计,用Modelsim 软件做功能仿真,用Quartus II 综合。(6)将设计代码和仿真代码写在作业本上。 module gcount(out,sel,clock_1k,clear,pause,gcon); input clock_1k,clear,pause; output [6:0] out; output sel,gcon; reg [6:0] out; reg sel,gcon; reg [3:0] cnt_sl,cnt_sh,count; reg wire [9:0] fenpin; clock_1 = fenpin[9]; // 1Hz; always @(posedge clock_1k or negedge clear) begin if (!clear) fenpin <= 10'b0; else fenpin <= fenpin + 1; end //cnt_sl always@(posedge clock_1 or negedge clear) //always@(posedge clock_1 or negedge clear or posedge pause) begin if(!clear) cnt_sl<=4'h4; else if (!pause) cnt_sl<=cnt_sl; else if (cnt_sh == 0 && cnt_sl == 0) cnt_sl<=4'h0; else if (cnt_sl == 0) cnt_sl<=4'h9; else cnt_sl<=cnt_sl-1; end //cnt_sh always@(posedge clock_1 or negedge clear)

三位数字显示的计时系统(课程设计)

湖南工程学院 课程设计 课程名称数字电子技术 课题名称三位数字显示的计时系统 专业电气工程及其自动化 班级 学号 姓名 指导教师 2013年12 月27 日

湖南工程学院 课程设计任务书 课程名称:数字电子技术 题目:三位数字显示的计时系统 专业班级:班 学生姓名:学号: 指导老师: 审批: 任务书下达日期2013 年12 月16 日星期一设计完成日期2013 年12 月27 日星期五

设计内容与设计要求 一、任务与要求: 设计并制作一个简易的三位数字显示计时系统,供运动员比赛计时用,要求如下: 1、精确到秒,最大计时为9分59秒; 2、开机时自动清零; 3、具有启停输入控制功能,按下启停输入控制键 时,开始计时,再次按下时,停止计时。 4、用7段数码管显示时间; 5、功能扩展(自选) 二、设计要求: 1、设计思路清晰,给出整体设计框图; 2、设计各单元电路,给出具体设计思路、电路器件; 3、总电路设计; 4、计算机仿真 5、安装调试电路; 6、写出设计报告;

主要设计条件 1.提供直流稳压电源、示波器; 2.提供 TTL集成电路芯片、电阻、电容及插接用面包板、 导线等。 说明书格式 1、课程设计封面; 2、课程设计任务书; 3、说明书目录; 4、设计总体思路,基本原理和框图; 5、单元电路设计(各单元电路图); 6、总电路设计(总电路图); 7、安装、调试步骤; 8、故障分析与电路改进; 9、总结与设计调试体会; 10、附录(元器件清单); 11、参考文献; 12、课程设计成绩评分表。

进度安排 第一周星期一上午安排任务、讲课。 星期一~星期五上午查资料、设计 第二周 1、计算机仿真 2、测试元器件 3、调试单元电路 4、调试总电路 5、调试验收 6、写课程设计报告书 星期五下午答辩 地点:实验楼四楼电子综合实验室 参考文献 《电子技术课程设计》历雅萍、易映萍编 《电子技术课程设计指导》彭介华、主编 高等教育出版社 《电子线路设计、实验、测试》谢自美主编华中理工出版社。

定时器-计数器

定时器 / 计数器 一、实验目的 ⒈学会8253芯片和微机接口的原理和方法。 ⒉. 掌握8253定时器/计数器的工作方式和编程原理。 二、实验内容 利用8253进行二次分频,控制LED灯亮10秒,灭10秒。 三、实验程序清单(在H8253.ASM的基础上修改) CODE SEGMENT ;H8253.ASM ASSUME CS: CODE ORG 1290H START: JMP TCONT TCONTRO EQU 0043H TCON0 EQU 0040H TCON1 EQU 0041H TCONT: MOV DX,TCONTRO MOV AL,36H ;0号通道控制字需要修改 OUT DX,AL MOV DX,TCON0 ;计数初值要按计算出的值来写 MOV AL,00H OUT DX,AL MOV AL,04H OUT DX,AL MOV DX,TCONTRO MOV AL,36H ;1号通道控制字需要修改 OUT DX,AL MOV DX,TCON1 MOV AL,00H ;计数初值要按计算出的值来写 OUT DX,AL MOV AL,02H OUT DX,AL JMP $ CODE ENDS END START

四、实验步骤 ⒈8253的GATE0、GATE1接+5V,OUT0接CLK1,CLK1接LED灯(L1)。 8253的CLK0插孔接分频器74LS393(左下方)的T2插孔,分频器的频 率源为8.0MHZ,T→8.0MHZ。 ⒉运行实验程序 在系统提示符“P.”状态下,联机运行程序 3. 观察实验现象,修改程序中的计数初始值,观察结果。

三位数字显示计时定时器设计

课程设计报告 课程设计名称:三位数字显示计时定时器设计专业班级: 学生姓名: 学号: 同组人员: 指导教师: 课程设计时间: 2周

目录 1 设计任务、要求以及文献综述 (1) 2 原理叙述和设计方案 (1) 2.1 设计方案选择和论证 (1) 2.2 电路的功能框图及其说明 (1) 2.3 功能块及单元电路的设计、计算与说明 (2) 2.4 总体电路原理图 (4) 3 电路的仿真与调试 (4) 3.1 电路仿真 (4) 3.2 调试中出现的问题及解决方法 (5) 4 制作与调试 (6) 4.1元件清单、实物照片 (6) 4.2制作与调试过程中遇到的问题及解决办法 (7) 5心得体会 (7) 6 参考文献 (8) 附录 (9)

三位数字显示计时定时器设计 1 设计任务、要求以及文献综述 3位数字显示计时定时器是一个典型的利用数字系统的例子。所谓数字系统,是指由若干数字电路及逻辑部件组成并且能够进行采集、加工、处理及传送数字信号的设备。一个完整的数字系统通常由输入电路、输出电路、控制电路、若干个子系统和时基电路等部分组成。设计要求如下: 1、计时功能。能任意启停,保持计时结果; 2、开机自动复位; 3、最大计时显示为9分59秒; 4、定时报警。 2 原理叙述和设计方案 2.1 设计方案选择和论证 方案一:设计的3位数字显示计时定时器则分别有4个子系统组成:秒脉冲时间标准产生电路、计数器、译码器和显示器、开机自动清零电路、计时启停控制电路 方案二:实现一个三位数字显示的秒表系统,单片机,lcd1602显示屏,矩阵键盘等组成部分。秒计数电路满60向分计数电路进位(显示00~59s),分计数电路满足10(显示0~9)后停止并且灯亮,等待重新复位计时。 论证:方案二比方案一好。 理由一:方案二显示的最终结果比较直观。 理由二:方案二可更改性好,方便日后的改进。 2.2 电路的功能框图及其说明 根据原理正确、易于实现、且实验室有条件实现的原则确定设计方案,画出总体设计功能框图,如图1所示。

相关主题
文本预览
相关文档 最新文档