当前位置:文档之家› 多路彩灯控制器的设计

多路彩灯控制器的设计

多路彩灯控制器的设计
多路彩灯控制器的设计

河南科技学院新科学院

电气工程系电子课程设计报告多路彩灯控制器的设计

学生姓名:张永攀

所学专业:电气工程及其自动化

所在班级:126班

完成时间:2014年5月16日

多路彩灯控制器的设计

摘要

当今时代科技发展日异月新,彩灯作为一种景观应用越来越多。在电子电路设计领域中,电子设计自动化(EDA)工具已成为主要的设计手段。它的发展给电子系统的设计带来了革命性的变化,EDA软件设计工具,硬件描述语言,可编程逻辑器件(PLD)使得EDA技术的应用走向普及。本次设计是八路彩灯控制器,现代生活中,彩灯已经成为必不可少的景观,本次设计本着与实际生活密切联系的原则,论述了使用VHDL设计八路彩灯控制器的过程。VHDL为设计提供了更大的灵活性,使程序具有更高的通用性。同时也提高了设计的灵活性、可靠性和可扩展性,为大学生更好地认识社会提供了很好的机会。

关键词:电子设计自动化(EDA);EDA;彩灯控制器;

Design of Multi-channel colored lantern controller

Abstract

The times on different scientific and technological development on new, lantern as an increasing number of landscape applications. In the field of electronic circuit design, electronic design automation (EDA) tools have become primary design tool. Its development to the design of electronic systems has brought a revolutionary change, EDA software design tools, hardware description language, programmable logic devices (PLD) allows the application of EDA technologies to spread. This design is 16 Light Controllers, modern life has become an essential landscape lights, this design closely in line with the principles of real life, discusses the use of VHDL design 16 Road lantern controller process. VHDL as the design provides greater flexibility to process a higher versatility. Also improve design flexibility, reliability and scalability for a better understanding of community college students a good opportunity.

Key words:Electronic design automation (EDA); EDA; lantern controller

目录

绪论 (1)

1 多路彩灯控制器任务和要求 (1)

1.1多路彩灯控制器的任务 (1)

1.2多路彩灯控制器的要求 (1)

2多路彩灯控制器总体方案的选择 (1)

2.1.多路彩灯控制器单元电路的设计 (2)

2.1.1各个单元电路的具体实现 (2)

2.2花型部分 (3)

2.3花型控制电路 (4)

3.1电路输入、输出信号波形 (7)

3.2电路组装、调试问题及解决 (8)

4结论 (8)

参考文献 (10)

致谢 (11)

附录 (12)

附录Ⅰ多路彩灯控制器花型控制电路 (12)

附录Ⅱ多路彩灯控制器总体电路图 (13)

绪论

随着科学技术的发展以及人民生活水平的提高,在现代生活中, 彩灯作为一种装饰既可以增强人们的感观,起到广告宣传的作用,又可以增添节日气氛,为人们的生活增添亮丽。随着电子技术的发展, 应用系统向着小型化、快速化、大容量、重量轻的方向发展,EDA(Electronic Design Automatic) 技术的应用引起电子产品及系统开发的革命性变革。电子设计自动化(EDA)工具覆盖面广, 抽象能力强, 在实际应用中越来越广泛。在这个阶段,人们开始追求贯彻整个系统设计的自动化,可以从繁重的设计工作中彻底解脱出来,把精力集中在创造性的方案与概念构思上,从而可以提高设计效率,缩短产品的研制周期。

1 多路彩灯控制器任务和要求

1.1多路彩灯控制器的任务

彩灯控制器可以自动控制多路彩灯按不同的节拍循环显示各种灯光变换花型。彩灯控制器是以高低电平来控制彩灯的亮灭。实现彩灯控制可以采用EPROM 编程、RAM编程、可编程逻辑器件、单片机等实现。在彩灯路数较少,花型变换比较简时,也可用移位寄存器实现。在实际应用场合彩灯可能是功率较大的发光器件,需要加以一定的驱动电路。本课题用发光二极管LED模拟彩灯,可以不用驱动。

1.2多路彩灯控制器的要求

现要求设计一个8路移存型彩灯控制器,彩灯用发光二极管LED模拟,具体要求如下:

1.能演示三种花型,花型自拟。

2.选做:彩灯明暗变换节拍为1.0s和0.5s,两种节拍交替运行。

2多路彩灯控制器总体方案的选择

根据题目的任务、要求和性能指标,经过分析与思考,得出以下方案:

整体电路分为四个模块:第一个模块实现节拍的发生;第二个模块实现快慢两种节拍的控制;第三个模块实现花型的控制;第四个模块实现花型的显示。

主体框图如下:

在本方案中,各单元电路只实现一种功能。其优点在于:电路设计模块化且各模块功能明确,易于检查电路,对后面的电路组装及电路调试带来方便。缺点是:由于设计思想比较简单,元件种类使用少,花型复杂一些就会导致中间单元电路连线过多而易出错。 2.1.多路彩灯控制器单元电路的设计 设计所使用的元件及工具: 表一:

面包板一个;万用表一个;钳子一个;导线若干。 2.1.1各个单元电路的具体实现

(1)节拍发生电路

考虑到节拍是整个电路功能实现的基础及其他模块进行调试的必需条件,故首先实现节拍发生模块。0.5s 节拍选用由555及相关器件构成的多谐振荡器电路实现。

由于输出波形中低电平的持续时间,即电容放电时间为

C R t w 227.0=

低电平的持续时间,即电容放电时间为

C R R t w )(7.0211+=

因此电路输出矩形脉冲的周期为

C R R t t T w w )2(7.02121+=+=

输出矩形脉冲的占空比为

2

12

1

12R R R R T t q w ++==

当12R R >>时,占空比近似为50%。 故综合考虑,

电容取:4.7μf 0.01μf 电阻取:2R = 150k Ω ;=1R 4.7k Ω

2.2花型部分 图2-1 首先设计花型如下:

花型1:整体分为两部分从第1路和第5从左至右渐亮,全亮后,再分两半从

左至右渐灭。循环两次;

花型2:从中间两路开始,同时向两边依次渐亮,全亮后再由中间到两边依次

渐灭。

花型3:从左至右顺次渐亮。全亮后逆序渐灭。循环两次。

花型的实现由2片74LS194来实现,状态转移图如下(设两片74LS194的输出依次为Q1~Q8):

表二 : 移存器输出状态编码表

2.3花型控制电路

将二片161级联成为模64(三种花型在两种节拍下各显示一遍)的计数器。161的级联用的是同步,并用^CG清零。由于花型控制模块和显示模块之间的连线较为复杂,故在一个图中显示,如下

图2-2 总体电路图如下:

图2-3

3.1电路输入、输出信号波形

1.基本CP脉冲产生电路波形图与分频电路波形图

2. 测试波形: (列依次为CP脉冲,低位片194A,B,C,D,高位片194A,B,C,D) 花型一:

花型二:

花型三:

3.2电路组装、调试问题及解决

实验的每一天都有所收获,都有所进步。我花了很久的时间来设计电路:

首先再次熟悉相关器件的功能、用法,又参照了一些书籍、材料,然后一步步地走,一点点地完善,最终完成属于自己的第一阶段成果。在连线时,因为对原理及连线都很熟悉,所以连线阶段并没有花费过多的时间。但是加电后LED二极管亮的没有一点规律,检查原理图没什么问题,我只能一条导线一条导线地标记,一个端子一个端子地排查,最后发现是两个与非门间的连线弄错了。

其实在电路组装过程中,遇到的最大问题是,芯片分布不够合理,无法很

好的布线。于是在分析了我的设计后计算了要用芯片的个数和个芯片之间的关系,按照各个控制电路的走向较合理的插好了芯片。其次就是布线,因为要求不准交叉,且横平竖直,所以在保证连通的情况下,在布线上也下了不少工夫,我用心考虑,尽力做到我认为很合理的布线。

4结论

这次课程设计对于我来说收获很大。通过本次实验,我认识到了实践的重要,也提高了我的实际动手能力。我们要学会把理论联系实际,而这次课程设计就是一个很好的机会,不仅能提高我们的理论知识,而且也培养了我们的实际动手能力。尽管在中间遇到很多问题,我的设计最后在老师的检查下发现了自己存在的问题,是因为自己想的太简单,没有认真思考。但是经过老师的指导和同学的帮

助,使得这次实验能顺利完成,而且在讨论中我们也学到了很多知识,而且也对也前的知识做了一个很好的回顾。

在这次实验中,我体会到在进行一个综合性的硬件设计时,要全面考虑问题,比如想用其他信号来控制一个信号,就要考虑到和这个信号直接或间接关系的信号,必须是最重要相关的信号,然后用真值表来解决他们的关系,通过门电路来实现。下来就是在实际动手连接电路时的问题,由于种种客观方面的原因,导致理想和现实相差太远,输入电路不可以悬空,悬空并不是高电平,芯片上有接电源和接地的悬空是高电平,但这个高电平并不是,有时候它不是怎么高,这样地话,就会对后面地时序电路产生影响

在通过这两个礼拜的设计实习,让我真正理解了书本上知识,也让我知道我们课本上的知识在实际中怎么应用,理论联系实践,相互关系。通过此次设计,我对理论知识的学习有了很大的兴趣,现在我可以主动的去学习,我明白自己该学习那个方面,重点是什么。我也掌握的了在理论中遇到问题,应该怎样去解决,在实际中遇到迷团应该怎样去检查调试。

最后,我非常感谢每天一直在实验室指到我们的老师。因为是他们使我在这次设计中受益非浅。

参考文献

[1] 吕晓兰.基于VHDL实现的十六路彩灯控制系统[M].信息技术,2007,(6):.

[2] 范秋华赵艳秋何香玲.基于VHDL的彩灯控制[J].现代电子技术,2004,169(2):5-8.

[3] 吴长虹等.基于VHDL的数字系统优化设计[M].电脑知识与技术,2006,(12):.

[4] 齐京礼等.VHDL语言在FPGA中的应用[M].微计算机信息,2006,(12):.

[5] 数字电路逻辑设计. 高等教育出版社王毓银编

[6] 数字系统设计——数字电路课程设计指南. 北京邮电学院出版社高书莉编

致谢

本次课程设计我付出了很多,二个星期虽然短暂,但每一模块的设计中都有我辛勤的汗水,我从中学到了很多东西。很感谢这二周以来指导和教育我的老师,感谢王红军老师不厌其烦的指导,是老师耐心的教导才换回了我们这次课程设计的成功。老师的精心指导和严谨求实的态度,深深地鼓励着我。感谢老师对我们的教诲,老师一丝不苟的教学态度,将成为我生命中最宝贵的财富之一。最后,在此向我的导师以及在课程设计过程中给予我很大帮助的老师、同学们致以最诚挚的谢意。

附录附录Ⅰ多路彩灯控制器花型控制电路

附录Ⅱ多路彩灯控制器总体电路图

多路彩灯控制器.

电子技术课程设计 --- 多路彩灯控制器 学院:电子信息工程学院 学号:200615010217 指导教 师:祁艳杰2008-12-17

多路彩灯控制器 目录 设计目的 设计任务与要求 三、总体方案的设计与选择 1 、总体方案的设计 2 、总体方案的选择 四、使用元件及元件说明 五、单元电路的设计 1 、花型演示电路 2 、花型控制信号电路 3 、快慢节奏控制电路 4 、时钟信号控制电路 六、总体电路图 七、电路组装、调试过程中遇到的问题及 解决办法 八、分析与心得

、设计目的: 1、进一步掌握数字电路课程所学的理论知识。 2、了解数字电路设计的基本思想和方法,学会科学分析和解决问题。 3、熟悉几种常用集成数字芯片,并掌握其工作原理,进一步学会使用其进行电路设计。 4.培养认真严谨的工作作风和实事求是的工作态度。 二、设计任务与要求 本次数字电路课程设计我选择的题目是“多路彩灯控制器”。 这个系统的主要功能是: 1、自动控制多路彩灯按预设的花型进行变换; 2、花型种类不少于三种,花型自拟; 3、分别用快慢两种节拍实现花型变换。 三、总体方案的设计与选择 1、总体方案的设计 经过分析问题及初步的整体思考,拟定以下二种方案: 方案一:总体电路共分三大块。第一块实现花型的演示;第二块实现花型的控制及节拍控制; 第三块实现时钟信号的产生。 结构框图如下:

方案二:在方案一的基础上将整体电路分为四块。第一块实现花型的演示;第二块 实现花型的控制;第三块实现节拍控制;第四块实现时钟信号的产生。并 在部分电路的设计上与方案一采用了完全不同的方法,如花型的控制。 主体框图如下: 2 .总体方案的选择 方案一与方案二最大的不同就在,前者将花型控制与节拍控制两种功能融合在一起,是考虑到只要计数器就可以实现其全部功能的原因,且原理相对简单。如此设计,其优点在于:设计思想比较简单。元件种类使用少,且都较熟悉易于组装电路。缺点则是:中间单元电路连线过于繁多,容易出错,且可能出现线与 线关系。要避免这些,则势必造成门电路使用过多,导致电路不稳定,抗干扰能 力下降。 而后者则将以上两种功能分开设计,各单元电路只实现一种功能。其优点在于:电路设计模块化,易于检查电路,对后面的电路组装及电路调试带来方便。缺点则是:节拍控制采用了没有学过的器件,原理相对复杂,不易理解。花型控制电路简单,花型也比较简单,过度过程可能会出现乱码。 基于以上原因,加上为了成功的实现课程设计,我选择了连线少,易于组装和调试的方案二。 四、使用元件 1.设计所需的元件:

多彩循环彩灯控制器设计.

1 设计意义及要求 1.1 设计意义 (1)通过此次课程设计,加深同学们对理论知识的理解,培养同学们的动手动脑能力以及解决实际问题的能力。 (2)培养同学们之间相互学习、相互交流合作共同解决问题的能力。 (3)培养同学们对电子设计的兴趣,查阅相关资料解决疑难的能力。 (4)同学们自学protues仿真软件画电路图并进行仿真操作,培养学生的自学能力。(5)增强同学们的创新能力,鼓励同学们设计出属于自己的方案。 1.2 设计要求 现有8只彩灯,试设计一控制器,要求彩灯能实现如下追逐图案: (1)使8只彩灯从右到左逐一循环点亮。 (2)使8只彩灯按照 1110 1110左移循环点亮。 (3)使8只彩灯交替闪烁。 (4)接着重复以上的动作,这样一直循环下去。时间间隔为0.5秒。 (5)严格按照课程设计说明书要求撰写课程设计说明书。 2 方案设计 2.1 设计思路 2.1.1 设计方案一 设计要求彩灯完成三个可以循环的功能,于是就把设计分为几个独立的功能模块进行设计,每一个模块完成特定的功能,再把它们有机的组织起来构成一个系统完成彩灯控制器的设计。由555多谐振荡器产生脉冲,再用74LS161进行分频,为电路提供脉冲信号。彩灯花样控制电路由74LS198和门电路构成。循环选择控制电路由74LS161和门电路组成。 图2.1 多彩循环彩灯控制器设计方案一系统框图

具体设计步骤:先将每个设计的功能要求的单独电路画出来,通过74LS198双向移位寄存器来实现对彩灯的直接控制。在完成单个功能要求之后,通过计数器74LS161配合相应的门从而选择哪一个门电路结构工作,把预先存在相应门电路结构输入端的数据送到移位寄存器74LS198的数据输入端,并对其进行置数。给移位寄存器加上相应的反馈,配合脉冲工作,当移位寄存器输出一种彩灯花样结束后,就重新置一次数,切换一种工作状态,从而实现在三种彩灯花样之间的循环。 2.1.2 设计方案二(小组方案) 此方案运用AT89S52单片机,通过IO口直接驱动LED灯。通过编写相应的程序从而实现在三种工作状态之间的切换。 设计框图如图2.2所示: 图2.2 多彩循环彩灯控制器设计方案二系统框图 2.2 方案设计 2.2.1 设计方案一电路图 多彩循环彩灯控制器设计方案一电路原理图如图2.3所示: 工作原理: 一、接通电源,555多谐振荡器产生周期为0.5秒的脉冲信号,将脉冲信号分别送 给计数器74LS161(1)和移位寄存器74LS198。计数器74LS161(1)按照反馈 置数法连接成8进制计数器从而实现对脉冲信号周期的扩展,即将原脉冲进行8 分频,得到周期为4秒的脉冲信号。用周期为4秒的脉冲信号触发下一个计数器 74LS161(2),把74LS161(2)连接成3进制计数器,Q1Q0就有三种循环状态00,01,10,00…… 二、将8个二输入与门7408作为一组,每个与门的选出一个输入端口连接在一起, 接控制信号,一共放置3组,组成3个与门结构。每组的二输入与门的另一个输 入端分别接上移位寄存器74LS198所需要的预置数,3组分别为00000001,111011

彩灯控制器设计

彩灯控制器设计 摘要 一、系统设计要求 设计一个控制电路来实现8路彩灯按照一定的次序和时间间隔闪烁。具体要求如下: 1、当控制开关为0时,灯全灭;当控制开关为1时,从第一盏开始,依次点亮,时间间隔为1秒。期间一直保持只有一盏灯亮、其他灯全灭的状态。 2、 8盏灯依次亮完后,从第8盏开始依次灭,期间一直保持只有一盏灯灭、其他灯全亮的状态。 3、当8盏灯依次灭完后,8盏灯同时亮同时灭,其时间间隔为0.5秒,并重复4次。 4、只要控制开关为1,上述亮灯次序不断重复。 5、用层次化设计方法设计该电路,编写各个功能模块的程序。 6、仿真各功能模块,通过观察有关波形确认电路设计是否正确。 7、完成电路设计后,用实验系统下载验证设计的正确性。 二、系统总体结构 系统框图如下所示, ENA为控制开关,输入信号为2Hz,经过分频器分频之后产生一个1Hz的时钟信号,用两个12位内部信号的左、右移后的中间8位来控制8个灯的亮与灭。计数器1和计数器2的作用:一方面用2Hz和1Hz的时钟信号控制灯亮、灭的时间间隔;另一方面控制八盏灯的依次亮、依次灭和全亮、全灭。 使能信号ENA为无效电平时(低电平),8只LED灯保持全灭的状态;当使能信号ENA为有效电平时(高电平),8只按照既定的花型进行变换,首先2Hz的时钟信号在分频器的左右下改变为1Hz的时钟信号,该1Hz的时钟信号送至计数器2、左移和右移模块,并由计数器2实现左、右移模块的选择。分别实现8只LED灯的自左向右依次点亮,以及自右向左依次熄灭的花型变换;当完成自右向左的花型变换后,计数器2给出一个控制信号给计数器1,执行全亮全灭的花型变换,该模块的时钟信号是未经分频器分频的原始时钟信号2Hz。只要使能信号有效,那么该系统就按照以上的花型变换顺序一直永序的变换下去。 三、各功能模块

多路彩灯控制器的设计

多路彩灯控制器的设计 一课程设计题目(与实习目的) (1)题目:多路彩灯控制器 (2)实习目的: 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事的工作态度。 5.作为课程实验与毕业设计的过度,课程设计为两者提供了一个桥梁。二任务和要求 实现彩灯控制的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成大型彩灯控制系统。因为本次实习要求设计的彩灯路数较少,且花型变换较为简单,故采用移位寄存器型彩灯控制电路。 (1)彩灯控制器设计要求 设计一个8路移存型彩灯控制器,要求: 1. 彩灯实现快慢两种节拍的变换; 2. 8路彩灯能演示三种花型(花型自拟); 3. 彩灯用发光二极管LED模拟; 4. 选做:用EPROM实现8路彩灯控制器,要求同上面的三点。 (2)课程设计的总体要求 1.设计电路实现题目要求;

2.电路在功能相当的情况下设计越简单越好; 3. 注意布线,要直角连接,选最短路径,不要相互交叉; 4. 注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。 三总体方案的选择 (1)总体方案的设计 针对题目设计要求,经过分析与思考,拟定以下二种方案: 方案一:总体电路共分三大块。第一块实现花型的演示;第二块实现花型的控制及节拍控制;第三块实现时钟信号的产生。 主体框图如下: 方案二:在方案一的基础上将整体电路分为四块。第一块实现花型的演示; 第二块实现花型的控制;第三块实现节拍控制;第四块实现时钟信号的产生。 并在部分电路的设计上与方案一采用了完全不同的方法,如花型的控制。 主体框图如下: (2)总体方案的选择 方案一与方案二最大的不同就在,前者将花型控制与节拍控制两种功能融合在一起,是考虑到只要计数器就可以实现其全部功能的原因,且原理相

彩灯循环控制器设计

课程设计(论文) 题目名称循环彩灯控制器设计 课程名称电子技术课程设计 学生姓名 学号 系、专业电气工程系、电气工程及其自动化指导教师 2011年12月16 日 I

邵阳学院课程设计(论文)任务书 注:1.此表由指导教师填写,经系、教研室审批,指导教师、学生签字后生效;2.此表1式3份,学生、指导教师、教研室各1份。 II

指导教师(签字):学生(签字): III

邵阳学院课程设计(论文)评阅表 学生姓名学号 系电气工程系专业班级09电气工程及其自动化专业题目名称循环彩灯控制器的设计课程名称电子技术课程设计 一、学生自我总结 二、指导教师评定 注:1、本表是学生课程设计(论文)成绩评定的依据,装订在设计说明书(或论文)的“任务书”页后面; 2、表中的“评分项目”及“权重”根据各系的考核细则和评分标准确定。 IV

摘要 当今社会,经济发展迅速,人们对周围环境的要求也越来越高。人们渴望在一个多姿的环境中生活,那样也不会太单调寂寞。霓虹灯以它炫彩的英姿征服了人们的眼球,为人们的生活添姿加彩。随着人们生活环境的不断改善和美化,在许多场合可以看到彩色流水灯,以往死寂般的夜空也开始变得鲜活。 霓虹灯发展到现在已经慢慢的融入到了我们生活的点点滴滴,在我们习以为常的环境中,变换着它们的色彩,绚烂着人们的生活。本次实验设计中我们在原有的基础上改进,设计这款彩灯循环发光控制器,通过对NE555集成时基电路和计数器/译码分配器CD4017等集成块的合理组建,实现了对彩灯的循环发光控制功能。 关键词:计数器;数据选择器;移位寄存器;彩灯显示电路 V

彩灯控制器

北华航天工业学院 《EDA技术综合设计》 课程设计报告 报告题目:彩灯控制器综合实验作者所在系部:电子工程系 作者所在专业:自动化 作者所在班级:B08223 作者姓名:王磊 指导教师姓名:崔瑞雪 完成时间:2010.12.01

课程设计任务书

内容摘要 随着科技的发展, 在现代生活中, 彩灯作为一种景观应用越来越多。EDA技术的应用引起电子产品及系统开发的革命性变革, 现介绍了以VHDL为基础的十六路彩灯控制系统。同时减少了设计芯片的数量、缩小了体积、降低了功耗、提高了设计的灵活性、可靠性和可扩展性。在电子电路设计领域中,电子设计自动化(EDA)工具已成为主要的设计手段,而VHDL语言则是EDA的关键技术之一,它采用自顶向下的设计方法,即从系统总体要求出发,自上至下地将设计任务分解为不同的功能模块,最后将各功能模块连接形成顶层模块,完成系统硬件的整体设计。本文介绍了基于EDA技的多路彩灯控制器的设计与分析。在MAX+PLUSII环境下采用VHDL语言实现,论述了基于VHDL语言和CPLD芯片的数字系统设计思想和实现过程。电子设计自动化技术EDA的发展给电子系统的设计带来了革命性的变化,EDA软件设计工具,硬件描述语言,可编程逻辑器件(PLD)使得EDA 技术的应用走向普及。CPLD是新型的可编程逻辑器件,采用CPLD进行产品开发可以灵活地进行模块配置,大大缩短了产品开发周期,也有利于产品向小型化,集成化的方向发展。而VHDL语言是EDA的关键技术之一,它采用自顶向下的设计方法,完成系统的整体设计。 关键词: VHDL,彩灯,仿真,控制

目录 一、设计要求 (1) 二、实验方案 (1) 三、实验原理 (1) 四、设计模块 (1) 五、程序仿真调试 (2) 六、程序下载与实现 (2) 七、实验结果分析 (3) 八、心得体会 (3) 参考文献 (4) 附录 (4)

VHDL课程设计多路彩灯控制器

湖南科技大学 信息与电气工程学院 《课程设计报告》 题目: 专业: 班级: 姓名: 学号: 指导教师: 年月日

信息与电气工程学院 课程设计任务书 2014 —2015 学年第一学期专业:学号:姓名: 课程设计名称: 设计题目: 完成期限:自年月日至年月日共周 设计依据、要求及主要内容(可另加附页): 指导教师(签字): 批准日期:年月日

目录 一、实验设计的目的 (4) 二、设计内容 (4) Ⅰ、设计的工具 (4) Ⅱ、系统设计要求 (4) III、系统设计方案 (4) 三、电路工作原理 (4) 四、主要程序及仿真结果 (5) Ⅰ、时序部分主要程序如下: (5) Ⅱ、时序控制电路的仿真波形如图: (6) 五、对本次设计的体会和建议 (7) 参考文献 (7)

一、实验设计的目的 本设计的任务是让我们熟悉支持VHDL语言的软件,如Max Plus 2,ISP,FOUNDATION等,利用这一类软件使用VHDL语言进行设计编译仿真。本次设计的主要目的: 1、熟练掌握了相关软件Max Plus 2的使用操作。能对VHDL语言程序进行编译及调试,以及通过计算机仿真,得到正确的仿真波形图,并根据所得仿真波形图分析判断并改进所设计的电路。 2、在成功掌握软件操作基础上,将所学数字电路的基础课知识与VHDL语言的应用型知识结合起来并与实际设计,操作联系起来,即“理论联系实际”的观点。 3、完成可编程器件与VHDL语言课程设计,掌握设计语言技术的综合应用性。本次设计课题为多路彩灯控制器。 4、熟悉系统的分析和设计方法,合理掌握选用集成电路的方法,初步接触EDA技术,为以后本专业的学习奠定良好的基础。 二、设计内容 Ⅰ、设计的工具 电脑一台,maxplusⅡ仿真软件,关于VHDL课程设计的相关书籍若干。 Ⅱ、系统设计要求 设计一个多路彩灯控制器,能循环变化花型,可清零,可选择变化节奏。 彩灯控制器有16路发光二极管构成,当控制器开关打开时,能够在6种不同彩灯花型之间进行循环变化。 要求控制器具备复位清零功能,一旦复位信号有效,不论控制器花型变化处于何种状态都会无条件即刻清零,回复到初始状态。 设置节拍选择按钮。按下此按钮,多路彩灯控制器的花型变化的节奏减缓;放开次按钮,则节奏相对加快。 III、系统设计方案 根据系统设计要求可知,整个系统共有三个输入信号,分别为控制器快慢节奏控制信号opt、复位清零信号clr和时钟脉冲信号clk,输出信号则是16路彩灯的输出状态led[15...0]。 多路彩灯控制器可由两个主要的电路模块组成:时序控制电路模块和显示控制电路模块。时序控制电路根据输入信号的设置得到相应的输出信号,并将此信号作为显示控制电路的时钟信号;显示控制电路根据输入时钟信号的周期,有规律地输出预先设定的6种彩灯花型,从而使得多路彩灯控制器在一定的输入条件下提供符合设计要求的有效输出。本设计主要研究时序控制电路。 三、电路工作原理 多路彩灯控制器的实现基础是时序控制电路和显示1控制电路的设计,时序控制电路的模块框图如图2所示。其中,clk为输入时钟信号,电路在时钟上升沿发生变化;clr为复位清零信号,高电平有效,且一旦该信号有效,电路无条件复位为初始状态;opt为快慢节奏选择信号,低电平时节奏快,高电平时节奏慢;clkout为输出信号,当clr信号有效时clkout输出为0,否则,clkout的周期随opt信号的改变而改变。 我们假设时序电路产生的控制时钟信号的快慢两种节奏分别为输入时钟信号频率的1/4和1/8,因而输出时钟信号可以通过对输入时钟的计数来获得。当opt为低电平时,输出经过两个时钟周期进行翻转,实现四分频的快节奏;当opt为高电平时,输出每经过四个时周期进行翻转,实现八分频的慢节奏。

多彩循环彩灯控制器设计

多彩循环彩灯控制器设 计 Document number:WTWYT-WYWY-BTGTT-YTTYU-2018GT

1设计意义及要求设计意义 (1)通过此次课程设计,加深同学们对理论知识的理解,培养同学们的动手动脑能力以及解决实际问题的能力。 (2)培养同学们之间相互学习、相互交流合作共同解决问题的能力。 (3)培养同学们对电子设计的兴趣,查阅相关资料解决疑难的能力。 (4)同学们自学protues仿真软件画电路图并进行仿真操作,培养学生的自学能力。(5)增强同学们的创新能力,鼓励同学们设计出属于自己的方案。 设计要求 现有8只彩灯,试设计一控制器,要求彩灯能实现如下追逐图案: (1)使8只彩灯从右到左逐一循环点亮。 (2)使8只彩灯按照 1110 1110左移循环点亮。 (3)使8只彩灯交替闪烁。 (4)接着重复以上的动作,这样一直循环下去。时间间隔为秒。 (5)严格按照课程设计说明书要求撰写课程设计说明书。 2 方案设计 设计思路 设计方案一 设计要求彩灯完成三个可以循环的功能,于是就把设计分为几个独立的功能模块进行设计,每一个模块完成特定的功能,再把它们有机的组织起来构成一个系统完成彩灯控制器的设计。由555多谐振荡器产生脉冲,再用74LS161进行分频,为电路提供脉冲信号。彩灯花样控制电路由74LS198和门电路构成。循环选择控制电路由 74LS161和门电路组成。

图多彩循环彩灯控制器设计方案一系统框图 具体设计步骤:先将每个设计的功能要求的单独电路画出来,通过74LS198双向移位寄存器来实现对彩灯的直接控制。在完成单个功能要求之后,通过计数器74LS161配合相应的门从而选择哪一个门电路结构工作,把预先存在相应门电路结构输入端的数据送到移位寄存器74LS198的数据输入端,并对其进行置数。给移位寄存器加上相应的反馈,配合脉冲工作,当移位寄存器输出一种彩灯花样结束后,就重新置一次数,切换一种工作状态,从而实现在三种彩灯花样之间的循环。 设计方案二(小组方案) 此方案运用AT89S52单片机,通过IO口直接驱动LED灯。通过编写相应的程序从而实现在三种工作状态之间的切换。 设计框图如图所示: 图多彩循环彩灯控制器设计方案二系统框图 方案设计 设计方案一电路图 多彩循环彩灯控制器设计方案一电路原理图如图所示:

多路彩灯控制器课程设计

物理与电气工程学院课程设计报告 多路彩灯控制器 姓名 ** ** 学号 ********* 班级电气工程及其自动化1班 年级 2011级 指导教师李 *** 成绩 日期 2013.4.8

摘要八路循环彩灯控制器整体电路由三部分组成:脉冲发生电路、移位寄存器、控制电路。其中用时钟脉冲来启动电路,使其发出不同的频率产生不一样的脉冲,控制发光二极管,使电路更好的工作。主要采用 74LS194 芯片接成扭环形结构的移位器来实现,最后做到两种花型的彩灯循环控制。 一、实验目的: 根据知识掌握情况和兴趣选择题目,给出功能设计方案,插接、调试电路,完成要求的任务,达到巩固和应用“电子技术基础”和“数字电路与逻辑设计”课程基本理论和方法,初步掌握模拟与数字电路系统设计基本方法的目的。 二、实验要求: 设计一个4路移存型彩灯控制器,彩灯用发光二极管LED模拟,具体要求如下: 1、能演示三种花型,花型自拟。 2、选作:彩灯明暗变换节拍为1.0s和0.5s,两种节拍交替运行。 三、实验元件: 555定时器 1个 74194 2个 74161 2个 7404 2个

电阻150kΩ 1个 电阻4.7KΩ 1个 电阻20Ω 8个 电容4.7uF 1个 电容0.1 uF 1个 四、总体方案的设计: 经过分析问题及初步的整体思考,设计方案如下: 需要实际时钟产生电路,循环控制电路和彩灯左右移,及全亮全灭输出电路。时钟脉冲产生电路由脉冲发生器产生连续的脉冲。循环电路采用74LS161 ,74LS194实现彩灯的循环控制。具体主要通过两片双向移位寄存器74LS194 来实现彩灯电路控制,通过脉冲发生器来产生连续时钟信号的输入,由74LS161 计数器来控制信号的移动方向,实现左移,右移及亮灭的功能。总体电路原理图如下: 五、单元电路的设计:

六路彩灯循环控制器数电课程设计

一.设计目的及要求 1.1 课程设计的目的 1 、巩固和加强《数字电子技术》课程的理论知识。 2 、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3 、掌握电子电路安装和调试的方法及其故障排除方法,学会用ewb 软件或multisim 软件对电路仿真。 4 、通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。 5、培养创新能力和创新思维。 1.2 要求 用中规模集成电路实现6 路彩灯控制电路,主要用计数器、译码器、移位寄存器等芯片集成,实现以下5 种演示花型: 花型1:6 路彩灯同时亮; 花型2:6 路彩灯同时灭; 花型3:6 路彩灯从左至右逐路点亮; 花型4:6 路彩灯左侧三个全亮,同时右侧三个全灭; 花型5; 6 路彩灯右侧三个全亮,同时左侧三个全灭; 要求彩灯亮、灭一次的时间可调,花型转换的顺序为:花型1、花型2、花型3 、花型4,花型5 、花型1 电路有复位控制,复位按钮闭合时彩灯循环输出,按钮断开彩灯熄灭。 二、设计方案的选择和电路框图

2.1题目分析 我们设计的流水灯实际上是主要使用一个555定时器、一个 74LS160,—个74LS42和两个74HC194这四个芯片对,6个彩灯进行控制,产生循环控制的效果。 2.2 题目设计 花型1,111111 ;花型2,000000 ;花型3,100000 ——010000 ——001000——000100——000010——000001;花型4,111000 ;花型5,000111。用74HC194移位寄存器来实现。用74LS42译码器来实现对194的控制,实现194的清零,并行输入,以及右移。用 74LS160十进制计数器控制42译码器的输出,555定时器根据滑动电阻的调节来实现输出时钟脉冲周期的不同从而控制160 计数的快慢,也就实现了彩灯闪烁时间的可调。 2.3结构框图

多路彩灯控制器课程设计

一、课程设计题目:多路彩灯 二、任务和要求: 任务:设计一个多路彩灯控制器,能控制8路彩灯,彩灯用发光二极管模拟。 要求:能演示至少3种花型,花型自拟。 彩灯明暗变换节拍为1.0s和2.0s,两种节拍交替运行。 目的:(1).掌握移位寄存器的移位,置位功能。 (2).掌握TTL集成电路驱动发光二极管的设计方法。 (3).熟悉中、小规模数字集成电路芯片,掌握基本数字电路设计方法, 通过实践提高数字电路连接、调试能力。 三、器件 四、总体方案 方案一:

模块图如下(箭头示数据流的方向和内容): 分析:此方案设计花型种类多,实现简单,使用的芯片数少,但后三种花型变化简单。 方案二: 共设计3种花型,每种花型均有16种状态,花型3的前8种状态和后8

其模块图如下: 分析:此方案花型变化多样,但电路复杂,使用的芯片数多,花型少。 总结:方案一电路简单,所使用的芯片数目少,而且花型种类多,在实现分频 时,二使用了74LS74和74LS00,而方案一只用了一片74就实现了。在数据选择模块,方案一用了一片151就可实现节拍的选择。而方案二电路复杂,花型少。故选择方案一。 五、 单元电路设计: 选择方案一,实现电路简单,共使用了9片芯片。 (一)脉冲发生电路 脉冲发生电路使用了555脉冲发生器和若干器件组成。具体参数:555芯片一片,R1为4.7,R2为150 ,C1为0.01uf ,C2为4.7uf ,电源电压为5V 。 其电路图如下: S1,S0(控制信息);清零信号

其波型为5V的方波。 (二)脉冲分频电路 脉冲分频电路是由555提供脉冲,由74LS74实现分频。其电路图为: 555电路和分频出的波形分别如下: (三)脉冲选择电路 脉冲选择电路由一片74LS151组成,并有计数电路的控制,每32个脉冲数据选择变化一次,及选择CP信号或分频的信号。其电路如图:

EDA课程设计 彩灯控制器.

电子设计自动化大作业 题目彩灯控制器的设计 学院**学院 班级电气** 学号********** 姓名******** 二O一二年十月三十一日

彩灯控制器的设计 一、彩灯控制器的设计要求 设计能让一排彩灯(8只)自动改变显示花样的控制系统,发光二极管可作为彩灯用。控制器应有两种控制方式: (1)规则变化。变化节拍有0.5秒和0.x秒两种,交替出现,每种节拍可有4种花样,各执行一或二个周期后轮换。 (2)随机变化。无规律任意变化。 二、彩灯控制器的设计原理 本次彩灯控制器的设计包含几个主要模块,一是彩灯显示和扬声器的时序控制部分,二是发光二极管的动态显示和数码管的动态显示,本次设计中,二者的显示同步变化;三是扬声器的控制部分。流程图如下所示: 图 1 彩灯控制器的设计流程图 彩灯控制器的设计核心主要是分频器的使用,显示部分的设计较

简易。分频的方法有很多种,本次设计之采用了其中较简易的一种,通过计数器的分频,将控制器外接的频率分为几个我们预先设定的值。当计数器达到预先设定的值,即产生一个上升沿,从而实现分频。扬声器通过不同的频率控制发出不同的声音。同样发光二极管和数码管的显示速度也由其中分出来的一种频率控制(控制显示频率在1~4 之间为宜)。通过使能端的控制可以控制不同的数码管显示预先设定的图案,数码管依次显示的图案为 AA、BB、CC,并随着发光二极管同步动态显示。AA 为自左向右显示,BB 为自右向左显示,CC 从二边向中间再由中间向二边发散显示。与此同时,显示不同的花型时扬声器发出不同的声音,代表不同的花型。本次设计还带有复位功能,通过复位可以使彩灯控制器恢复到最初的状态。 三、程序设计和分析 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; 建立设计库和标准程序包 实体部分: entity pan is port(clk:in std_logic; clr:in std_logic; speak:out std_logic; led7s1:out std_logic_vector(6 downto 0); led7s2:out std_logic_vector(7 downto 0);

多路彩灯控制器.

电子技术课程设计 ------多路彩灯控制器 学院:电子信息工程学院 学号:200615010217 指导教师:祁艳杰 2008-12-17 多路彩灯控制器 目录

一、设计目的 二、设计任务与要求 三、总体方案的设计与选择 1、总体方案的设计 2、总体方案的选择 四、使用元件及元件说明 五、单元电路的设计 1、花型演示电路 2、花型控制信号电路 3、快慢节奏控制电路 4、时钟信号控制电路 六、总体电路图 七、电路组装、调试过程中遇到的问题及 解决办法 八、分析与心得 一、设计目的: 1、进一步掌握数字电路课程所学的理论知识。 2、了解数字电路设计的基本思想和方法,学会科学分析和解决问题。 3、熟悉几种常用集成数字芯片,并掌握其工作原理,进一步学会使用其进行电路设

计。 4. 培养认真严谨的工作作风和实事求是的工作态度。 二、设计任务与要求 本次数字电路课程设计我选择的题目是“多路彩灯控制器”。 这个系统的主要功能是: 1、自动控制多路彩灯按预设的花型进行变换; 2、花型种类不少于三种,花型自拟; 3、分别用快慢两种节拍实现花型变换。 三、总体方案的设计与选择 1、总体方案的设计 经过分析问题及初步的整体思考,拟定以下二种方案: 方案一:总体电路共分三大块。第一块实现花型的演示;第二块实现花型的控制及节拍控制;第三块实现时钟信号的产生。 结构框图如下: 方案二:在方案一的基础上将整体电路分为四块。第一块实现花型的演示;第二块实现花型的控制;第三块实现节拍控制;第四块实现时钟信号的产生。并 在部分电路的设计上与方案一采用了完全不同的方法,如花型的控制。

彩灯循环显示控制电路设计

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目: 彩灯循环显示控制电路设计 初始条件: 74LS160计数器、74HC390计数器、74HC139译码管、脉冲发生器、数码管和必要的门电路,可以选用其他的计数器和集成电路,但必须给出原理说明 要求完成的主要任务: 以LED数码管作为控制器的显示元件,它能自动地依次显示出数字0、1、2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列),0、2、4、6、8(偶数列)和0、1、2、3、4、5、6、7、0、1(音乐符号数列),然后由依次显示出自然数列、奇数列、偶数列和音乐符号数列......如此周而复始,不断循环。 设计要求 ①打开电源时,控制器可自动清零。 ②每个数字的一次显示时间基本相等,这个时间在0.5s到2s范围内连续可调。 ③确定设计方案,按功能模块的划分选择元、器件和集成电路,设计分电路,画 出总体电路原理图,阐述基本原理。 ④用EWB软件或者multisim软件或者Quartus软件完成仿真。 指导教师签名: 2008 年 6月 2日 系主任(或责任教师)签名:年月日

目录 摘要 (1) 1主要任务 (2) 2技术要求 (2) 3基本组成方框图 (2) 4设计方案 (3) 4.1数列循环部分 (3) 4.2数列显示部分 (7) 4.3脉冲信号的产生 (8) 4.4方案的确定 (9) 5单元电路的设计及其原理 (9) 5.1数列循环电路的设计 (9) 5.2序列显示电路的设计 (10) 5.2.1十进制自然序列的显示电路 (10) 5.2.2奇数序列显示电路 (11) 5.2.3偶数序列显示电路 (11) 5.2.4音乐序列显示电路 (12) 5.3脉冲产生电路的设计 (13) 5.4二分频电路的设计 (14) 5.5总电路图的设计 (14) 6仿真结果 (16) 6.1脉冲产生电路的仿真 (16) 6.2二分频电路的仿真 (17) 7测试结果分析 (18) 8体会与心得 (19) 9元件清单 (20) 10参考文献 (21)

循环彩灯控制器的电路设计

数字电子技术课程设计题目循环彩灯控制器的电路设计 院系 专业 学生姓名 学号 指导教师 二O一O年十二月二十一日

循环彩灯控制器的电路设计 摘要:本课题主要研究循环彩灯控制器的电路设计,它由直流电源、555振荡器、4510计数器、4028译码器、双D触发器及彩灯等几大部分组成,其中直流电源共有5V和7V两种,均由桥式整流滤波电路产生,其中5V电源主要供给循环彩灯控制电路的主电路(彩灯部分)而7V电源主要共给其控制电路;555振荡器主要为电路产生时钟脉冲提供给计数器;而4510计数器在双D触发器的控制下实现加减计数的功能;4028译码器在4510计数器的基础上产生顺序脉冲信号提供给彩灯,3路彩灯在顺序脉冲的作用下依次正循环和反循环的闪亮。 关键字:循环;计数器;译码器;振荡器 Circulation lights controller circuit design Abstract: the article mainly studied circulation lights controller circuit design, it consists of dc power supply, 555 oscillator, 4510 counters, 4028 decoder, double D flip-flop and lights and several other major components, including dc power were 5V and 7V two kinds, all by bridge rectifier filter circuits produce, including 5V power supply main supply circulation lights control circuit of main circuit (colored lantern part of 7V power mainly to the control circuit, 555 oscillator mainly for circuit produce clock pulse provide counter, And 4510 counter on double D flip-flop is under the control of the realization of the function; add and subtract counting 4028 decoder in 4510 counter on the basis of sequence pulse signal generated provide lights, 3 road lights in order pulse in turn is under the action of circulation and reverse circulation ablaze. Key word: cycle, Counter, Decoder, oscillator 一、概述 彩灯控制电路在人们的日常生活中随处可见,无论是在繁华的闹市区或是在大中型游乐场、圣诞树等等都有它们的身影,循环彩灯控制电路是今年来渐渐兴起的一种较为简单的电子设备装置,它可以按照人们的要求控制彩灯以不同的方式被点亮,还可以伴随音乐、各种奇奇怪怪的声音,色彩,变化无穷,为人们的生活增光添彩。 1、彩灯的控制方法和类型 彩灯一般可以用白炽灯、发光二极管以及拥有不同彩色的灯泡等。常见的彩灯控制方法有两种,一是通过微机编程实现,这种方法的优点是编程简单,变换的种类多,需要的外接电路也有限,它还方便因场地的转移或天气的变化而改变,但它适合于需要控制的彩灯数目较多且经常变换的场合。另一种是通过电子设备来实现,这种的方法的优点是制作和调试比较容易,成本相对而言也较低,电路的结构不是很复杂,

彩灯控制器设计 数电课程设计

彩 灯 控 制 器 的 设 计 专业:YYYYYYYYYYYYY 学号:YYYYYYYYYYYYy 姓名:YYY

目录 一、实验目的 (3) 二、设计题目与思路 (3) 三、总体方案的设计与选择 (3) 1、总体方案的设计 (3) 2、总体方案的选择 (4) 四、单元电路的设计 (5) 1、花型演示电路 (5) 2、花型控制信号电路 (5) 3、交替节拍控制电路 (6) 4、时钟信号电路 (7) 五、总体电路图(见附页) (7) 六、使用元件 (9) 七、电路组装、调试过程中遇到的问题及解决办法 (9) 八、分析与心得 (10)

一、实验目的 1.进一步掌握数字电路课程所学的理论知识。 2.了解数字电路设计的基本思想和方法,学会科学分析和解决问题。 3.熟悉几种常用集成数字芯片,并掌握其工作原理,进一步学会使用其进行电路设计。 4. 培养认真严谨的工作作风和实事求是的工作态度。 二、设计题目与思路 本次数字电路课程设计我选择的题目是“多路彩灯控制器”。 这个系统的主要功能是: 1.自动控制多路彩灯按预设的花型进行变换; 2、花型种类不少于三种,花型自拟; 3.分别用快慢两种节拍实现花型变换。 4.选择:用可编辑逻辑器件实现。 三、总体方案的设计与选择 1.总体方案的设计 经过分析问题及初步的整体思考,拟定以下二种方案: 方案一:总体电路共分三大块。第一块实现花型的演示;第二块实现花型的控制及节拍控制;第三块实现时钟信号的产生。 主体框图如下:Array 方案二:在方案一的基础上将整体电路分为四块。第一块实现花型的演示;第二块实现花型

的控制;第三块实现节拍控制;第四块实现时钟信号的产生。并在部分电路的设计上与方案一采用了完全不同的方法,如花型的控制。 主体框图如下: 2.总体方案的选择 方案一与方案二最大的不同就在,前者将花型控制与节拍控制两种功能融合在一起,是考虑到只要计数器就可以实现其全部功能的原因,且原理相对简单。如此设计,其优点在于:设计思想比较简单。元件种类使用少,且都较熟悉易于组装电路。缺点则是:中间单元电路连线过于繁多,容易出错。且可能出现线与线关系。要避免这些,则势必造成门电路使用过多。导致电路不稳定,抗干扰能力下降。 而后者则将以上两种功能分开设计,各单元电路只实现一种功能。其优点在于:电路设计模块化,易于检查电路,对后面的电路组装及电路调试带来方便。缺点则是:节拍控制电路采用可编辑逻辑电路,原理相对复杂,不易理解。花型控制电路简单,花型也比较简单。 基于以上原因,加上为了成功的实现课程设计,我选择了连线少,易于组装和调试的方案二。 四、单元电路的设计 1.花型演示电路 由二片移位寄存器194级联实现。其八个输出信号端连接八个发光二极管,用其输出信号控制发光二级管的亮灭实现花型演示。 电路图如下:

可编程彩灯控制器原理及设计

目录 一、课题设计任务及要求 .3 二、设计目的 3 三、优选设计方案 4 四、整体设计思想及原理框图 5 五、各模块设计与分析 6 1、脉冲发生电路 7 2、控制电路和译码电路 10 3、存储电路 12 4、数码管显示电路 .14 六、元器件清单 15 七、安装及调试中出现的问题和解决方法 15 八、设计感想 17 附录 一、实验电路图 20 二、实验电路连接图 .21 三、参考文献 21

一、课题设计任务及要求 课题名称:可编程彩灯控制器 设计任务及要求: 1、设计脉冲产生电路、图形控制电路和存储电路; 2、用8×8LED点阵作为显示电路,显示内容的动面感要强。 3、能用按键切换不同的显示组合,至少有3个按键切换; 4、每种组合至少有3种变化,每种组合内图形能连续循环; 5、要有数码管显示当前是第几种组合(或是第几个按键); 6、图形显示间隔(显示频率)至少有3种可选。 控制器可有2种控制方式: (1)规则变化:变化节拍有秒和秒,交替出现,每种节拍可有多种花样,各执行1或2个周期后轮换;彩灯变化方向有单向移动和双向移动、跳跃移动等。 (2)随机变化。变化花样相同,但节拍和花样的轮换随机出现。 7、完成电路全部设计后,通过实验箱验证设计课题的正确性。 二、设计目的 本课程设计主要是为了实现可编程彩灯控制的功能,且通过本次电子课程设计,了解电子产品设计的一般过程,掌握电子线路设计的基础方法和一般过程,能灵活运用已学过或者类似的集成块构成电路实现上述功能,还能灵活掌握555电路的应用方法。能用仿真软件对电子线路进行仿真设计,还能用Portel等软件绘制PCB图,掌握了电子电路调试的方法,且能独立解决设计与调试过程中出现的一般问题,并进一步掌握EEPROM的编程方法和应用。

五彩灯控制器

课程设计报告 课程名称:技能课课程设计 系部: 专业班级: 学号: 学生姓名: 指导教师: 完成时间: 2018.12.15 报告成绩: 1、问题分析 (1) 2、总体设计思想 (2) 3.具体实现方法 (3) 4.硬件连线图及流程图 (4) 5.主程序的流程图 (5)

四、PROTEUS 调试过程及现象 (6) 五、调试问题及解决方法 (10) 六、源程序 (10) 七、心得体会 (13) 八、参考文献 (13) 一、设计课题及要求 1、课题内容: 五彩灯以其流光溢彩的效果而广泛用于广告宣传和装饰美化方面。本设计要求用51单片机控制八只霓虹灯 L1~L8,使其按以下九种状态循环显示。 2、动作要求: 状态1:L1~L8依次点亮至全亮。 状态2:L8~L1依次熄灭至全灭。 状态3:L8~L1依次点亮至全亮。 状态4:L1~L8依次熄灭至全灭。 状态5:L1~L8依次轮流点亮。 状态6:L8~L1依次轮流点亮。 状态7:由中间向两边呈发散式点亮。 状态8:由两边向中间呈收拢式点亮。 状态9:全亮全灭闪烁3次。 按下停止键,灯全熄灭。 二、设计目的: 通过解决实际问题,巩固和加深“单片机原理与应用”课程中所学的理论知识和实验能力,基本掌握单片机应用电路的一般设计方法,提高电子电路的设计和实验能力,加深对单片机软硬知识的理解,获得初步的应用经验,为以后从事生产和科研工作打下一定的基础。 三、具体设计内容:

1、问题分析: 该彩灯控制器是一种基于AT89C51 单片机的彩灯控制器,实现对LED 彩灯的控制。本方案以AT89C51 单片机作为主控核心,与键盘、显示等模块组成核心主控制模块。在主控模块上设有5 个按键和1 位七段码LED 显示器,根据用户需要可以编写若干种亮灯模式,根据各种亮灯时间的不同需要,利用软件产生的延时来控制在不同时刻输出灯亮或灯灭的控制信号,然后驱动灯亮或灭。该新型LED 彩灯与普通LED 彩灯相比,具有体积小、价格低、低能耗等优点。 2、总体设计思想: 五彩灯分为2 部分,即彩灯控制器<主控模块)和显示模块<受控模块)。整个系统工作由软件程序控制运行,根据需要,用户可以在LED 彩灯工作时通过主控模块上的按键来控制LED 彩灯的不同的点亮模式。上电后系统经过初始化,直接进入一号功能键模式。再等待按键输入控制信号控制中断的来临并扫描按键进入中断子服务程序实现1 键、2 键、3 键、4 键和5 键的各种功能。LED 彩灯控制器按照程序设定好的按下不同按键实现不同的5 个亮灯花样模式并显示按下的是几号功能键。该系统中用了两个中断,其中前面四个功能共用一个外部INTT0 则5 号功能通过外部中断INTT1 来实现。七段码LED 显示器用来显示当前是几号键已经按下,这两个功能都是通过查询而进入不同的中断子程序。在硬件上单片机选用MSC-51 系列的80C51,P2 口输出控制信号控制LED 灯的亮或灭,单片机的串行输出接上74HC164 转换成并行输出来控制七段码LED显示器。

循环彩灯控制器课程设计8路

数字电路课程设计报告课程名称:循环彩灯控制器 设计题目:循环彩灯控制器 院(部):机械与电子工程学院 专业: 学生姓名: 学号: 班级: 日期: 指导教师:

课程设计任务书 课程设计题目循环彩灯控制器 姓名学号班级 院部机械与电子工 程学院 专业 组别组长组员 指导教师 课程设计目的 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 课程 设计 环境 用proteus 仿真软件画出总体电路图、word编写课程设计报告

课程设计任务和要求设计要求: 1. 8路彩灯能演示三种花型(花型自拟); 2. 彩灯用发光二极管LED模拟; 3.选做:实现快慢两种节拍的变换。 设计任务: 1.设计电路实现题目要求; 2.电路在功能相当的情况下设计越简单越好; 3. 注意布线,要直角连接,选最短路径,不要相互交叉; 4. 注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。 课程设计工作进度计划 序号起止日期工作内容 1 2012年6月7日~2012年 6月11日选择设计题目并阅读相关文献、资料,学习使用proteus 2 2012年6月12日~2012 年6月13日 根据设计任务和要求,做出大纲和目录 3 2012年6月13日~2012 年6月16日 根据目录做出设计内容 4 2012年6月17日~2012 年6月18日 根据设计内容用proteus画图 5 2012年6月19日整理课程设计的格式与内容 6 2012年6月20日打印并装订 教研室审核意见: 教研室主任签字:年月日 教学院(系)审核意见: 主任签字:年月日

相关主题
文本预览
相关文档 最新文档