当前位置:文档之家› 使用Virtuoso设计全定制版图

使用Virtuoso设计全定制版图

使用Virtuoso设计全定制版图
使用Virtuoso设计全定制版图

使用Virtuoso设计全定制版图

本文介绍利用virtuoso layout editor(以后简称le)创建全定制版图,以及vituoso le 的一些使用说明。全文将用一个贯穿始终的例子来说明如何绘制全定制版图,一个最简单的反相器的版图.设计过程采用chartered(csm25rf)库—标准CMOS工艺库。

具体内容包括:

1)如何打开virtuoso le和le 的一些设置;

2)使用LSW窗口;

3)使用le 创建一个版图;

4)使用快捷键—bindkey;

5)使用diva验证版图;

6)使用diva 进行LVS;

登陆以后,首先要先创建自己的工作目录,比如Work, cd Work.从csm25rf库所在文件夹下拷贝display.drf文件到自己的工作目录下,你的工作目录下必须有display.drf文件,不然在LSW窗无法显示绘制版图需要的各个图层。从/CDS_ROOT/tools/dfII/cdsuser目录下拷贝 .cdsinit文件到自己的目录下,该文件是Cadence 自带的软件相关设置的文件,里面有相关字体的设置,Bindkey设置等,这里主要考虑Bindkey的设置,也可以设置自己的Bindkey,不过 Cadence默认的设置是足够的。当然也可以单单靠鼠标来进行操作,virtuoso le窗口有常用命令的工具栏,不过就我自己的感觉,用快捷键比鼠标要快很多。

(不过这里有一个问题,服务器上的.simrc文件的设置跟csm25rf 如果要运行Diva LVS 还得有.simrc文件。

库有不一致的地方,运行Diva LVS 会出现目录LVS非法的错误。

运行vituoso le

要打开virtuoso le ,首先要打开CIW窗口。在shell 命令行下输入:icfb& 进入CIW 窗口,字符&使得cadence 在后台工作(即可以在shell命令行执行其它命令),也可以在shell 提示符下输入其它的命令来打开CIW窗口,如下图:(在这里也可以输入layout& 或者 layoutPlus&)

检查设计需要的相关库是否存在。在CIW窗口选择Tools->Library Manager 打开Library Manager 窗口,如下:

确保csm25rf,basic和analogLib这三个库都已经存在,如果不存在就自己手动添加它们。

小技巧:如果在自己的Library Manager中发现有的Library 的字的颜色是红色的,把该库删除掉,不然它可能会引起一些奇怪的问题来.

一些相关的设置

在CIW窗口中,选择Options -> User Preferences ,在弹出的对话框中把Undo level拖到最大 10,这样在画板图的过程中,如果发现有错误的操作,在还没保存的情况下就可以通过撤销命令(Undo)来撤销相关的操作,User Preference的设置窗口如下图。作为一个版图的新手,出现错误是无法避免的,virtuoso le 并不是很听话。当然如果还没保存,又发现有错误,但又撤销不了,或者是因为没有修改Undo

level值(默认为1),或者已经经过很多步的操作,这时也并不是一点办法都没有了,只要还没保存过,就可以在virtuoso le窗口中运行design->disguard change来返回到上次保存的状态,不过这个方法有时会浪费好长时间的工作。在Command Controls中把Option Displayed When Cammand Start 设置为on ,则每次执行一个命令时其相应的对话框就会出现,在这种情况下,就可以对命令的一些参数进行设置,也有利于更好了解一些常用的命令。

开始设计过程

假如现在有如下图所示的逻辑电路图,技术库为csm25rf,P管和N管采用相同的尺寸,W=600n,L=3u。

现在要先建立自己的工作库。

在CIW窗口中选择File-〉new-> new library 建立自己的库。在弹出的对话框中,在libraryname 中输入库的名字,比如guan;在Technology 选项选择Attach to an existing techfile,点击OK,弹出Attach Design Library to Technology File 的对话框,如下图:

在Technology Library 选项卡中选择csm25rf,按下OK ,两个对话框窗口消失。现在已经建立了自己的工作库guan,并且它与技术库csm25rf相关联。

在前面的New Library窗口Technology File选项中,如果没有现成的技术库,而有相应的技术文件(techfile),那么可以先选择Compile a new techfile来创建自己的技术库。如果该选项被选上,点击OK后会弹出一个输入ASCII码文件(techfile)的对话框,在对话框中输入技术文件的完整路径,点击OK,如果没有错误,一个自己的技术库就生成了。不过利用这种方法生成的技术库里面包含的器件数量一般比较少,可以自行添加,这里涉及库开发的问题,超出本文的讨论范围。

为了运行Diva LVS ,还要把前面的逻辑电路图添加到刚刚创建的工作库中。

下一步,创建相对于上图中的逻辑电路图的版图。

在CIW窗口中,File->new->new cellview,打开创建新cellview 的对话框。按照下图中的设置设置对话框,Library Name选择guan,Tool 选择Virtuoso, cellname 为inv.点击OK。

这时会弹出三个窗口,其中一个是le 的窗口,一个是le的说明窗口,另一个是LSW窗口。对于le 和LSW窗口在后面的图片中会出现,在这里就省略了。下面的一段斜体字是Le和LSW窗口的相关使用说明及相关工艺,可以跳过去不看。

Le及LSW窗口相关

版图视窗(virtuoso le)打开后,掩模版图(le)窗口显现。视窗由三部分组成:Icon menu , menu banner , status banner.

Icon menu (图标菜单)缺省时位于版图图框的左边,列出了一些最常用的命令的图标,要查看图标所代表的指令,只需要将鼠标滑动到想要查看的图标上,图标下方即会显示出相应的指令。

menu banner(菜单栏),包含了编辑版图所需要的各项指令,并按相应的类别分组。几个常用的指令及相应的快捷键列举如下:

Zoom In -------放大 (z)Zoom out by 2------- 缩小2倍(Z)

Save ------- 保存编辑(f2) Delete ------- 删除编辑(Del)

Undo ------- 取消编辑(u)Redo -------恢复编辑 (U)

Move ------- 移动(m)Stretch ------- 伸缩(s)

Rectangle -------编辑矩形图形(r)Polygon ------- 编辑多边形图形

(P)

Path ------- 编辑布线路径

Copy -------复制编辑 (c)

(p)

status banner(状态显示栏),位于menu banner的上方,显示的是坐标、当前编辑指令等状态信息。

在版图视窗外的左侧还有一个层选择窗口(Layer and Selection Window LSW)。

LSW视图的功能:

1)可选择所编辑图形所在的层;

2)可选择哪些层可供编辑;

3)可选择哪些层可以看到。

只要在刚才运行Icfb的目录中有正确的display.drf文件的拷贝,在LSW窗口就会显示我们设计中需要

使用LSW窗口

在LSW窗口的图层栏点击一个图层,比如metal1,则metal1为当前图层,在le 窗口就可以画出该层的图形来,编辑过程不会有影响。

如果想要只显示metal1层,那么请先选择metal1,然后在图层资源的上方选择NV,鼠标点击Le窗口,在键盘按下f 键,则le 视图窗口就隐藏其它的图层,这你可以只编辑该图层,而不受其它图层的影响。接着如果想要多显示另外一层,比如contact,用鼠标在LSW窗口点击contact,然后鼠标点击le窗口,按下f 键,看看是不是contact层也显示出来了。利用同样的方法,可以显示更多的图层或者任意的一层或几层。当编辑完之后,要回到全部显示时,在LSW窗口选择AV,切换到Le窗口,按下f 键,显示全部图层。

在建立相应的版图的图形后,如果需要编辑新建的图形,这时可能会遇到这样的一个问题,由于设计的版图比较复杂,多个图形叠加到一起,结果在想选择metal1的一个图形时,不小心选上了P管,而这时如果执行的命令是移动或者拉伸,就有可能造成先前管子的布局和位置的改变,如果是删除则可能会把管子删除掉,这会给的设计带来很大的不便。在这种情况下可以通过LSW窗口的来控制器具可选性,在LSW 窗口中比较靠上方处有两个选项,默认都被选上,如果不想让器件为可选,则取消inst前面的选上标志,同样也可以取消Pin的可选性。另外也可以控制那些图层可以被编辑,方法类似于如何控制图层的显示,其中的选项为NS和AS,通过设置可以选择任意的图层来编辑。

Le的相关选项设置

在le 菜单栏中,选择option->display (快捷键e)打开display options 对话框,如下图。在Display levels 中把from 0 to 0 改为 from 0 to 21(或者其它的大于零的数),该选项设置显示的深度,如果不改,将无法看到调用的器件的内部结构。在Minor Spacing 填入0.1,Major Spacing:0.5,X Snap Spacing:0.01, Y Snap Spacing:0.01。这些设置有利于编辑时候的图形对准,当然有时候会设置得更小。其它的保持默认。

还有一个需要更改设置的地方,选择option->layout editor(快捷键shift+e),在Layout editor option 对话框中取消其中的gravity on 选项,点击OK。请务必保证gravity on为off.

添加器件

现在添加反相器N管和P管,在工具栏中选择addinstance 或者按快捷键(i),弹出添加器件对话

框(Create Instance),选择Browse,在Browse Library对话框中,Library选择csm25rf,Cell为pmos_tk(厚栅),view为layout 改变管子的栅长和宽为w=3u,l=600n,如下图。然后在le窗口中点击鼠标左键,添加一个P管。

用同样的方法添加一个N管,完成后的版图如下所示。图片的左边是LSW窗口,其结构:左上方为编辑菜单和帮助按钮,然后是当前选择的图层,接着下面是器件与Pin的可选性控制选项,再下来是全部可见与不可见,全部可选与不可选按钮,再下来是图层资源框。LSW窗口再过来就是le 窗口的工具栏,其中列出大部分常用命令的按钮。

添加矩形

在N管和P管之间需要用多晶硅来连接两个管子的栅。在LSW窗口中点击POLY2(在charter库中利用poly2作为管子的栅),切换到Le窗口,利用鼠标点击工具栏中的下方倒数第二个按钮或者按下r 键,放大到两个管子之间的地方,就可以开始画矩形了,选择的第一个点是P管的多晶硅的左下角,第二个点

是N管的多晶硅栅的右上角。如下图所示,多晶硅矩形把两个管子的栅连接到一起。

利用同样的方法在输出端用金属1把两个管子连接起来,该软件的默认设置是重复命令,即在画完一个矩形后可以不执行任何其它命令继续画更多的矩形,按ESC键来可以取消当前命令。但一旦执行下一个命令后,前一个命令自动取消。

添加多边形

在LSW窗口中选择MET1作为当前图层,在Create菜单选择Polygon或者按工具栏上下方倒数第四个按钮(shift+p)进入添加多边形状态,如果在先前user preference 对话框中选上了option displayed

when command start,则可以看到一个新建多边形的对话框,如下。

这里Snap Mode 为orthogonal 表示多边形的各边只能为垂直或水平方向,当然还有其它的选项,比如Anyangle(任意方向)。Net Name处可以不输入。

现在首先添加电源连线,用鼠标多次点击形成多边形的多个顶点,就可以构成一个封闭的图像,如下

图。

继续添加地线,得到如下所示的粗糙的版图,还需要编辑才能不致于有错误。

从图中可以看出,两个多边形和金属矩形连线都没有对准。

编辑版图

现在我们要通过拉伸来使得各个图形相互对准。在菜单栏Edit->stretch(s)或者按下工具栏的相应按钮,进入到拉伸状态。放大到要编辑的地方,选择要调整的边,不能把这个图形选上,拖动鼠标拉到合适的地方,如下图。

注意:在这里执行拉伸命令的时候请保证当前没有选中的器件或者图形。如果有选中的图形,则相当于执行移动命令。

一个小技巧:利用鼠标右键可以放大到自己需要的地方,先用鼠标右键点中要放大的区域的右下角,按住往左上角方向拖动,会有一个方形的边框表示选择的区域,如果看到该边框已经包围了需要放大的区域,放开鼠标右键,看看是不是如你所愿地放大了。如果鼠标往右下角拖动,则会起到缩小的作用。

调整后的版图如下所示.

现在还需要添加输入端,反相器的输入端应该为金属,所以要添加接触孔。在菜单栏Create->contact(o),弹出添加接触孔的对话框,如下。

在Contact Type 选项选择M1_POLY2,点击Hide,在le 视窗适当位置点击添加接触孔。于是我们得到一个漂亮的版图如下。接下来将对版图进行验证。

使用DIVA

DIVA 和dracula都是CADENCE的,而且集成到了virtuoso平台,一般说,dracula更精确,但是diva 更加容易使用,况且学会DIVA了再学其它的验证软件会更容易。

DIVA DRC

现在我们将要对先前设计的版图进行设计规则的检查。

首先,我们还得先做一件事,检查我们的环境变量CDS_Netlisting_Mode,在shell提示符下输入:env | grep CDS_Netlisting_Mode,确保该变量的值为Analog,如果不是可能需要找管理员,因为如果这个值设置不当会引起一些很奇怪的问题,那时是根本无法从问题上找出原因来的。网上有很多通过把该变量改

为Analog就解决问题的说法。接下来就可以开始了。

在le窗口,选择verify-〉DRC 打开DRC对话框如下

在这里需要在Switch Names 处填上验证方法,可以通过键盘输入,如果已经很熟悉了。鼠标点击Set Switches按钮,弹出如下图所示的Set Switches对话框,在对话框中用鼠标点击,就可以选择相应的项,也可以通过在选择过程中按住CTRL键来选择多项,不过有些项是不能重复的,而具体每一项是干什么用的可以通过查询该技术库的说明文件,比如2P4M表示两层多晶硅四层金属,即金属4为顶层金属。

在该对话框点击OK后,所选择的项就在DRC对话框的Switch Names后面出现。在DRC对话框单击Ok,DRC对话框消失,开始进行DRC检查。检查完成后,在CIW窗口有检查结果的相关信息输出,在进行设计

的过程中可能要经常通过CIW窗口来获得相关信息。与此同时,在版图上有错误的地方会被一些专用的图层标出来,但有时由于版图太复杂了,无法很快从版图上直接找到一些错误。检查结束后的CIW窗口和le 窗口如下。

通过CIW窗口我们可知,版图中存在违反金属1的最小面积规则,金属1最小面积为:0.36us2,但是通过layout 窗口是不太好定位的。

现在要找出出错的地方,在layout窗口选择verify->marker->find,得到Find Marker对话框,在对话框中,把Zoom to Marker选上,其它的保持默认。

实验一 一位二进制全加器设计实验

南昌大学实验报告 学生姓名: 学 号: 专业班级: 中兴101 实验类型:■ 验证 □ 综合 □设计 □ 创新 实验日期: 2012 9 28 实验成绩: 实验一 一位二进制全加器设计实验 一.实验目的 (1)掌握Quartus II 的VHDL 文本设计和原理图输入方法设计全过程; (2)熟悉简单组合电路的设计,掌握系统仿真,学会分析硬件测试结果; (3) 熟悉设备和软件,掌握实验操作。 二.实验内容与要求 (1)在利用VHDL 编辑程序实现半加器和或门,再利用原理图连接半加器和或门完成全加器的设计,熟悉层次设计概念; (2)给出此项设计的仿真波形; (3)参照实验板1K100的引脚号,选定和锁定引脚,编程下载,进行硬件测试。 三.设计思路 一个1位全加器可以用两个1位半加器及一个或门连接而成。而一个1位半加器可由基本门电路组成。 (1) 半加器设计原理 能对两个1位二进制数进行相加而求得和及进位的逻辑电路称为半加器。或:只考虑两个一位二进制数的相加,而不考虑来自低位进位数的运算电路,称为半加器。图1为半加器原理图。其中:a 、b 分别为被加数与加数,作为电路的输入端;so 为两数相加产生的本位和,它和两数相加产生的向高位的进位co 一起作为电路的输出。 半加器的真值表为 表1 半加器真值表 由真值表可分别写出和数so ,进位数co 的逻辑函数表达式为: b a b a b a so ⊕=+=- - (1) ab co = (2)

图1半加器原理图 (2) 全加器设计原理 除本位两个数相加外,还要加上从低位来的进位数,称为全加器。图2全加器原理图。全加器的真值表如下: 表2全加器真值表 其中a为加数,b为加数,c为低位向本位的进位,co为本位向高位的进位,so为本位和。 图2.全加器原理图 四.实现方法一:原理图输入法设计(自己独立完成) 1. 建立文件夹 建立自己的文件夹(目录),如c:\myeda,进入Windows操作系统 QuartusII不能识别中文,文件及文件夹名不能用中文。 2. 原理图设计输入 打开Quartus II,选菜单File→New,选择“Device Design File->Block Diagram->Schematic File”项。点击“OK”,在主界面中将打开“Block Editor”窗口。 (1) 放置元件 在原理图编辑窗中的任何一个空白处双击鼠标左键或单击右键,跳出一个选择窗,选择

8位全加器的设计

课程设计报告 课程名称数字逻辑课程设计 课题8位全加器的设计 专业计算机科学与技术 班级1202 学号34 姓名贺义君 指导教师刘洞波陈淑红陈多 2013年12月13日

课程设计任务书 课程名称数字逻辑课程设计 课题8位全加器的设计 专业班级计算机科学与技术1202 学生姓名贺义君 学号34 指导老师刘洞波陈淑红陈多审批刘洞波 任务书下达日期:2013年12月13日 任务完成日期:2014年01月21日

一、设计内容与设计要求 1.设计内容: 本课程是一门专业实践课程,学生必修的课程。其目的和作用是使学生能将已学过的数字电子系统设计、VHDL程序设计等知识综合运用于电子系统的设计中,掌握运用VHDL或者Verilog HDL设计电子系统的流程和方法,采用Quartus II等工具独立应该完成1个设计题目的设计、仿真与测试。加强和培养学生对电子系统的设计能力,培养学生理论联系实际的设计思想,训练学生综合运用数字逻辑课程的理论知识的能力,训练学生应用Quartus II进行实际数字系统设计与验证工作的能力,同时训练学生进行芯片编程和硬件试验的能力。 题目一4线-16线译码器电路设计; 题目二16选1选择器电路设计; 题目三4位输入数据的一般数值比较器电路设计 题目四10线-4线优先编码器的设计 题目五8位全加器的设计 题目六RS触发器的设计; 题目七JK触发器的设计; 题目八D触发器的设计; 题目九十进制同步计数器的设计; 题目十T触发器的设计; 每位同学根据自己学号除以10所得的余数加一,选择相应题号的课题。 参考书目 1 EDA技术与VHDL程 序开发基础教程 雷伏容,李俊,尹 霞 清华大学出版 社 978-7-302-22 416-7 201 TP312VH/ 36 2 VHDL电路设计雷伏容清华大学出版 社 7-302-14226-2 2006 TN702/185 3 VHDL电路设计技术王道宪贺名臣? 刘伟 国防工业出版 社 7-118-03352-9 2004 TN702/62 4 VHDL 实用技术潘松,王国栋7-8106 5 7-81065-290-7 2000 TP312VH/1 5 VHDL语言100 例详解 北京理工大学A SIC研究所 7-900625 7-900625-02-X 19 99 TP312VH/3 6 VHDL编程与仿真王毅平等人民邮电出版 社 7-115-08641-9 20 00 7 3.9621/W38V 7 VHDL程序设计教程邢建平?曾繁泰清华大学出版 社 7-302-11652-0 200 5 TP312VH/27 /3

一位全加器电路版图设计-11页精选文档

目录 1 绪论 (1) 1.1 设计背景 (1) 1.2 设计目标 (1) 2一位全加器电路原理图编辑 (2) 2.1 一位全加器电路结构 (2) 2.2 一位全加器电路仿真分析波形 (2) 2.3 一位全加器电路的版图绘制 (3) 2.4一位全加器版图电路仿真并分析波形 (3) 2.5 LVS检查匹配 (3) 总结 (4) 参考文献 (4) 附录一:电路原理图网表 (5) 附录二:版图网表 (6)

1 绪论 1.1 设计背景 Tanner集成电路设计软件是由Tanner Research 公司开发的基于Windows 平台的用于集成电路设计的工具软件。早期的集成电路版图编辑器L-Edit在国内已具有很高的知名度。Tanner EDA Tools 也是在L-Edit的基础上建立起来的。整个设计工具总体上可以归纳为电路设计级和版图设计级两大部分,即以S-Edit为核心的集成电路设计、模拟、验证模块和以L-Edit为核心的集成电路版图编辑与自动布图布线模块。Tanner软件包括S-Edit,T-Spice, L-Edit与LVS[1]。 L-Edit Pro是Tanner EDA软件公司所出品的一个IC设计和验证的高性能软件系统模块,具有高效率,交互式等特点,强大而且完善的功能包括从IC设计到输出,以及最后的加工服务,完全可以媲美百万美元级的IC设计软件。L-Edit Pro包含IC设计编辑器(Layout Editor)、自动布线系统(Standard Cell Place & Route)、线上设计规则检查器(DRC)、组件特性提取器(Device Extractor)、设计布局与电路netlist的比较器(LVS)、CMOS Library、Marco Library,这些模块组成了一个完整的IC设计与验证解决方案。L-Edit Pro丰富完善的功能为每个IC设计者和生产商提供了快速、易用、精确的设计系统。 1.2 设计目标 1.用tanner软件中的原理图编辑器S-Edit编辑一位全加器电路原理图 2.用tanner软件中的TSpice对一位全加器的电路进行仿真并分析波形 3.用tanner软件中的版图编辑器L-Edit进行一位全加器电路的版图绘制,并进行DRC验证 4.用tanner软件中的TSpice对一位全加器的版图进行仿真并分析波形 5.用tanner软件的layout-Edit中的lvs功能对一位全加器进行LVS检验观察原理图与版图的匹配程度

版图设计规范

Q/AT 中国电子科技集团公司第十三研究所企业标准 Q/AT 43016.×××-2005 第十六专业部 薄膜电路版图设计规范 拟制: 审核: 批准: 2005-9-6版 中国电子科技集团公司第十三研究所批准

目录?1.版图一般要求 ?2.版图元件要求 ?3.基片和组装材料选择 ?4.薄膜电阻最大允许电流 ?5. 版图和组装图审核要求 ?附录1 元器件降额准则(摘要)?附录2 版图和组装图审核表 ?附录3 组装图模版(AUTOCAD格式)

薄膜电路版图设计规范 版本:2005-9-6 1版图一般要求: 1.1基片和掩模版尺寸 1.3非标准尺寸基片:50mm×60mm。图形阵列最大尺寸不应大于46mm×56mm。 采用非标准基片要与工艺负责人商量。 1.4划线框尺寸:微晶玻璃基片200um,陶瓷基片 300um。 1.5基片厚度 进口瓷片厚度 0.38mm 0.25mm。 国产瓷片厚度0.4mm 0.5mm, 0.8mm,1.0mm。 需要其它厚度陶瓷基片时,要提前预订。 1.6单元基片最大尺寸(包括划线槽) 必须同时满足以下两个要求: (1)单元基片的每个边(角)到管座台面对应边(角)的最小距离0.5mm,(D-C>1)(2)单元基片边长比管壳对应管柱中心距应小1.5mm以上(A-B >1.5)。 表2 TO-8系列管壳对应最大正方形基片尺寸 1.7常规生产应采用铬版。 1.8有薄膜电阻的版,要制作三层版。 第1层负版。金块图形。 第2层正版。金块图形加上电阻图形。 第3层正版。仍为金块图形。

1.9没有薄膜电阻的版,制作2块版。 第1层负版。金块图形 第2层正版。仍为金块图形。 1.10带金属化通孔的版,制作2层版。, 第1层正版。金块图形,包括孔焊盘。 第2层正版。金块图形加上电阻图形。 1.10.1小孔的位置在正式的版图中不应画出,也不用标记。可以在不制版的图层中标出。 1.10.2版图上应设计一个十字对位标记,用于孔化基片光刻对位,如下图所示。 1.11掩模版要有标识: 在版图的空隙应加上版号或更新的编号。比如,版号为741,一次改版时,标示为 741A。 旧版仍沿用旧的版号。 新版号由各研究室主任给出1个3位数版号,遇到旧版号跳过。 1.12标准薄膜电阻。 在电阻图形中,应包含一个较宽的正方形电阻,以便精确地测量方块电阻。 比如:200μm×200μm。 1.13方块电阻标准值 微晶玻璃上方块电阻R□=100Ω; 陶瓷基片上方块电阻R□=50Ω。 应当尽量使用标准方块电阻,特殊的要求与工艺负责人商量。 1.14负版增加对位图形。 负版精缩时应在的图形阵列对角外,多曝光6个单元图形,如图A所示。 负版直扫时应在的图形阵列对角外作“L”图形,条宽1mm,长度5mm。如图B所示。

实验一1位二进制全加器的设计

龙岩学院实验报告 班级学号姓名同组人 实验日期室温大气压成绩 实验题目:基于原理图输入法的1位二进制全加器的设计 一、实验目的 1、学习、掌握QuartusⅡ开发平台的基本使用。 2、学习基于原理图输入设计法设计数字电路的方法,能用原理图输入设计法 设计1位二进制半加器、1位二进制全加器。 3、学习EDA-V型实验系统的基本使用方法。 二、实验仪器 装有QuartusⅡ软件的计算机一台、EDA系统实验箱、导线若干 三、实验原理 半加器只考虑两个1位二进制数相加,而不考虑低位进位数相加。半加器的逻辑函数 为 式中A和B是两个相加的二进制数,S是半加和,C是向高位的进位数。表1为半加器真值表。 表1 A B C S 0 0 0 0 0 1 0 1 1 0 0 1 1 1 1 0 显然,异或门具有半加器求和的功能,与门具有进位功能。 其逻辑图跟逻辑符号如下图:

全加器除了两个1位二进制数相加以外,还与低位向本位的进位数相加。表2为全加器的真值表。 表2 A i B i C I-1 C i S 0 0 0 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 由真值表可得出逻辑函数式 式中,A i 和B i 是两个相加的1为二进制数,C i-1 是由相邻低位送来的进位数, S I 是本位的全加和,C I 是向相邻高位送出的进位数。其逻辑图跟逻辑符号如下图所示: 四、实验内容 1、根据1位二进制半加器、1位二进制全加器的真值表,设计并画出1位二进制半加器的原理框图,由半加器及门电路设计并画出1位二进制全加器的原理框图(最终设计的是1位二进制全加器)。

用门电路设计一位的全加器

实验二组合逻辑设计 一、实验目的 1、掌握组合电路设计的具体步骤和方法; 2、巩固门电路的运用和电路搭建能力; 3、掌握功能表的建立与运用; 4、为体验MSI(中规模集成电路)打基础。 二、实验使用的器件和设备 四2输入异或门74LS86 1片 四2输入正与非门74LS00 1片 TDS-4数字系统综合实验平台1台 三、实验内容 1.测试四2输入异或门74LS86 一个异或门的输入和输出之间的逻辑关系。 2.测试四2输人与非门74LS00一个与非门的输入和输出之间的逻辑关系。 3.等价变换Si=Ai○十Bi○十Ci-1 Ci=AiBi +(Ai○十Bi)Ci-1 4.画出变换后的原理图和接线图。 四、实验过程 1、选择实验题目,分析逻辑功能 用门电路设计一位的全加器 一位全加器:在进行两个数的加法运算时不仅要考虑被加数和加数而且要考虑前一位(低位)向本位的进位的一种逻辑器件。 2、根据逻辑功能写出真值表; 3、根据真值表写出逻辑函数表达式; Si=Ai○十Bi○十Ci-1 Ci=AiBi +(Ai○十Bi)Ci-1 4、利用卡诺图法或布尔代数法对逻辑函数表达式进 行化简; 不需化简 Si=Ai○十Bi○十Ci-1 Ci=AiBi +(Ai○十Bi)Ci-1 5、将化简的逻辑表达式等价变换,统计出实验所需芯片;

Si=Ai○十Bi○十Ci-1 所需芯片: 四2输入异或门74LS86 1片 四2输入正与非门74LS00 1片 6、根据各芯片的引脚图,测试所有需用芯片的功能,画出各芯片的功能表; VCC VCC 74LS86接线图 74LS00接线图 74LS 86芯片测试结果74LS00 芯片测试结果

一位全加器的设计

课程设计任务书 学生:袁海专业班级:电子1303班 指导教师:封小钰工作单位:信息工程学院 题目: 一位全加器的设计 初始条件: 计算机、ORCAD软件,L-EDIT软件 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周 2、技术要求: (1)学习ORCAD软件,L-EDIT软件。 (2)设计一个一位全加器电路。 (3)利用ORCAD软件对该电路进行系统设计、电路设计,利用L-EDIT软件进行版图设计,并进行相应的设计、模拟和仿真工作。 3、查阅至少5篇参考文献。按《理工大学课程设计工作规》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规。 时间安排: 2016.12.30布置课程设计任务、选题;讲解课程设计具体实施计划与课程设计报告格式的要求;课程设计答疑事项。 2016.12.31-2017.1.2学习ORCAD软件和L-EDIT软件,查阅相关资料,复习所设计容的基本理论知识。 2017.1.3-2017.1.4对一位全加器电路进行设计仿真工作,完成课设报告的撰写。 2017.1.5 提交课程设计报告,进行答辩。

指导教师签名:年月日 系主任(或责任教师)签名:年月日 目录 摘要 .................................................................................................................................. I ABSTRACT ........................................................................................................................ I 1绪论 (1) 1.1集成电路发展现状 (1) 1.2集成电路版图工具L-edit简介 (1) 2全加器原理及一位全加器原理图设计 (1) 2.1一位全加器原理简介 (1) 2.2实现一位全加器功能的原理图设计 (1) 2.2.1一位全加器原理图 (1) 2.2.2基于ORCAD的一位全加器设计 (1) 2.2.3 一位全加器的电路图仿真 (1) 3一位全加器的版图设计 (1) 3.1确定一位全加器版图结构 (1) 3.2源漏共享缩小版图面积 (1) 3.3 版图所需基础器件绘制编辑 (1) 3.3.1 PMOS、NMOS等基础器件编辑 (1) 3.3.2 两输入与非门与异或门的绘制编辑 (1) 3.3.3源漏共享得到版图 (1) 3.4 绘制最终一位全加器版图 (1) 4心得体会 (1) 5参考文献 (1)

一位全加器

存档资料成绩: 华东交通大学理工学院 课程设计报告书 所属课程名称计算机组成原理 题目一位全加器的设计 分院电信分院 专业班级 15计算机科学与技术3班 学号20150210440313 学生姓名张子辰 指导教师王莉 2016 年 12 月 19 日

课程设计(论文)评阅意见 评阅人 王莉 职称 讲师 2016年12月19日 序号 项 目 等 级 优秀 良好 中等 及格 不及格 1 课程设计态度评价 2 出勤情况评价 3 任务难度评价 4 工作量饱满评价 5 任务难度评价 6 设计中创新性评价 7 论文书写规范化评价 8 综合应用能力评价 综合评定等级

目录 引言 (2) 一.全加器的介绍 (2) 1.1 全加器的基本概念 (2) 1.2全加器仿真设计分析 (3) 1.3 全加器的原理 (3) 二.课程设计目的 (3) 三.不同方法的一位全加器设计 (4) 3.1用逻辑门设计全加器 (4) 3.2 用74LS38译码器设计全加器 (6) 3.3用74LS153D数据选择器设计全加器 (8) 四.观测仿真电路 (10) 4.1逻辑门仿真电路的分析 (10) 4.2 74LS138译码器仿真电路的分析 (12) 4.3 74LS153D数据选择器仿真电路的分析 (13) 五.两位全加器的实现 (15) 5.1.原理 (15) 5.2创建电路 (18) 5.3 仿真电路的输出信号分析 (19) 六.收获与心得 (19) 参考文献 (20)

一位全加器的设计 引言 MAX+PLUS II是一个专门用于电路设计与仿真的工具软件。它以界面形象直观、操作方便、分析功能强大、易学易用等突出优点,迅速被推广应用。MAX+PLUS II仿真软件能将电路原理图的创建、电路的仿真分析及结果输出都集成在一起,并具有绘制电路图所需的元器件及其仿真测试的仪器,可以完成从电路的仿真设计到电路版图生成的全过程,从而为电子系统的设计、电子产品的开发和电子系统工程提供一种全新的手段和便捷的方法。 数字系统的基本任务之一就是进行算术运算。而常见的加、减、乘、除等运算均可以利用加法运算来实现。所以,加法器就成为数字系统中最基本的运算单元,可广泛用于构成其它逻辑电路。 一.全加器的介绍 1.1 全加器的基本概念 加法器是一种常见的组合逻辑部件,有半加器和全加器之分。半加器是只考虑两个加数本身,而不考虑来自低位进位的逻辑电路,就是两个相加数最低位的加法运算。全加器不仅考虑两个一位二进制数相加,还要考虑与低位进位数相加的运算电路。两个数相加时,除最低位之外的其余各位均是全加运算

电路版图设计与规则

第三章集成电路版图设计 每一个电路都可以做的很完美,对应的版图也可以画的很艺术,需要的是耐心和细心,当然这需要知识,至少我这么认为。 3.1认识设计规则(design rule) 什么是设计规则?根据实际工艺水平(包括光刻精度、刻蚀能力、对准容差等)和成品率要求,给出的一组同一工艺层及不同工艺层之间几何尺寸的限制,主要包括线宽、间距、覆盖、露头、凹口、面积等规则,分别给出它们的最小值,以防止掩膜图形的断裂、连接和一些不良物理效应的出现。芯片上每个器件以及互连线都占有有限的面积。它们的几何图形形状由电路设计者来确定。(从图形如何精确地光刻到芯片上出发,可以确定一些对几何图形的最小尺寸限制规则,这些规则被称为设计规则) 制定设计规则的目的:使芯片尺寸在尽可能小的前提下,避免线条宽度的偏差和不同层版套准偏差可能带来的问题,尽可能地提高电路制备的成品率。 设计规则中的主要内容:Design Rule通常包括相同层和不同层之间的下列规定: 最小线宽 Minimum Width 最小间距 Minimum Spacing 最小延伸 Minimum Extension

最小包围 Minimum Enclosure 最小覆盖 Minimum Overlay 集成电路版图设计规则通常由集成电路生产线给出,版图设计者必须严格遵守!!! 3.2模拟集成电路版图设计中遵从的法则 3.2.1电容的匹配 对于IC layout工程师来说正确地构造电容能够达到其它任何集成元件所不能达到的匹配程度。下面是一些IC版图设计中电容匹配的重要规则。 1)遵循三个匹配原则:它们应该具有相同方向、相同的电容类型以及尽可能的靠近。这些规则能够有效的减少工艺误差以确保模拟器件的功能。 2)使用单位电容来构造需要匹配的电容,所有需要匹配的电容都应该使用这些单位电容来组成,并且这些电容应该被并联,而不是串联。3)使用正方块电容,并且四个角最好能够切成45度角。周长变化是导致不匹配的最主要的随机因素,周长和面积的比值越小,就越容

8位全加器设计

基于原理图的8位全加器设计 实验目的:熟悉利用Quartus II的原理图输入方法设计简单的组合电路,掌握层次化设 计的方法,并通过一个8位全加器的设计把握利用EDA软件进行原理图输入方式的电子线路设计的详细流程。 实验原理:一个8位全加器可以由8个1位全加器串行构成,即将低位加法器的进位输 出cout与相临的高位加法器的最低位输入信号cin相接。 试验任务:1.完成半加器和全加器的设计。 2.建立一个更高层次的原理图设计,利用以上获得的1位全加器构成8位全加器,完成编译、综合、适配、仿真和硬件测试。 实验步骤: 一、1位全加器设计 1.建立工程文件夹adder,路径d:\adder。 2.输入设计项目和存盘 原理图编辑输入流程如下: (1)打开Quartus II,选择file—>new命令,在弹出的窗口中选择block diagram/schematic file 选项,单击ok按钮后将打开原理图编辑窗口。 (2)在编辑窗口中的任何一个位置上右击,将弹出快捷菜单,选择inset—>symbol命令,将弹出元件输入对话框。 (3)单击“…”按钮,找到基本元件库路径d:/altera/90/quartus/libraries/primitives/logic项(假设软件安装在D盘),选中需要的元件,单击“打开”按钮,此元件即显示在窗口中,然后单击symbol窗口中的ok按钮,即可将元件调入原理图编辑窗口中。也可以在name栏输入需要的元件名。调入好元件和引脚后,连接好电路,再输入各引脚名。 (4)选择file—>save as命令,选择刚才为自己的工程建立的目录d:\adder,将已设计好的原理图取名为h_adder.bdf,并存盘此文件夹内。 3.将设计好的项目设置成可调用的元件 为了构成全加器的顶层设计,必须将以上设计的半加器h_adder.bdf设置成可调用的元件。在打开半加器原理图文件的情况下,选择file—>create/update—>create symbol file for current file命令,即可将当前文件h_adder.bdf变成一个元件符号存盘,以待高层次设计中调用。4.设计全加器顶层文件 打开一个原理图编辑窗口,方法同前。在新打开的原理图窗口中双击,在弹出的窗口中选择project选项,选择h_adder.bdf,并调入其他元件,连接好电路。以f_adder.bdf名存在同一路径d:\adder中。 二、8位全加器设计 1.将刚设计好的1位全加器设置成可调用的元件,方法同上。 2.调入元件,连接电路图,以8f_adder.bdf保存于同一路径d:\adder中的文件夹中。 3.将顶层文件8f_adder.bdf设置为工程。 4.编译与仿真 原理图与仿真波形分析:

1位全加器的电路和版图设计

集成电路设计基础 论文题目:CMOS全加器设计学院:信息科学与工程学院专业:集成电路工程 姓名:耿烨亮 学号:1311082135

CMOS全加器设计 摘要:现代社会随着电路的集成度越来越高,功耗和信号延迟成为超大规模集成电路的关键。加法运算是数字系统中最基本的运算,为了更好地利用加法器实现减法、乘法、除法等运算,需要对全加器进行功能仿真设计和分析。另外通过全加器可以对其它相关电路有所了解。因此只有深刻理解了全加器的性能才能进一步减小功耗和信号延迟[1]。本文用对一位全加器进行了全面的分析。并且通过使用Cadence公司的工具IC 5141与Hspice来实现全定制的整个设计流程。 关键词:全加器;全定制;Cadence

As the circuit’s integration is increasing in the modern society,Power consumption and signal delay is crucial to the design of high-performance very large scale integration circuits. Addition operation is the basic operation of the digital system, In order to achieve much better use of the adder subtraction, multiplication, division and other operations, The need for full adder functional simulation design and analysis is necessary .what’s more, we can understand the other related circuitry through the full adder , Therefore, only a deep understanding of the performance of the full adder can we reduce the power consumption and signal delay.The paper has a comprehensive analysis to the full adder. And through the use of Cadence tool IC 5141 and Hspice to achieve full custom throughout the design process. Key words: the full adder ; Full – Custom; Cadence

实验一1 1位全加器的设计

实验一1位全加器的设计 一、实验目的 1.熟悉ISE软件的使用; 2.熟悉下载平台的使用; 3.掌握利用层次结构描述法设计电路。 二、实验原理及说明 由数字电路知识可知,一位全加器可由两个一位半加器与一个或门构成,其原理图如图1所示。该设计利用层次结构描述法,首先设计半加器电路,将其打包为半加器模块;然后在顶层调用半加器模块组成全加器电路;最后将全加器电路编译下载到实验板,其中a,b,cin 信号可采用实验箱上SW0,SW1,SW2键作为输入,输出sum,cout信号采用发光二极管LED3,LED2来显示。 图1 全加器原理图 三、实验步骤 1.在ISE软件下创建一工程,工程名为full_adder,工程路径在E盘,或DATA盘, 并以学号为文件夹,注意不要有中文路径,注意:不可将工程放到默认的软件安装 目录中。芯片名为Spartan3E系列的XC3S500E-PQG208 2.新建Verilog HDL文件,首先设计半加器,输入如下源程序; module half_adder(a,b,s,co); input a,b; output s,co;

wire s,co; assign co=a & b; assign s=a ^ b; endmodule 3.保存半加器程序为half_adder.v,通过HDL Bench画仿真波形,获得仿真用激励文 件,随后进行功能仿真、时序仿真,验证设计的正确性,观察两种仿真波形的差异。 4.在Design窗口中,选择Design Utilities→Create Schematic Symbol创建半加器模 块; 5.新建一原理图(Schematic)文件,在原理图中调用两个半加器模块、一个或门模块, 按照图1所示连接电路,并连接输入、输出引脚。完成后另保存full_adder.sch。 6.对设计进行综合,如出现错误请按照错误提示进行修改。 7.HDL Bench画仿真波形,获得仿真用激励文件,分别进行功能与时序仿真,验证全 加器的逻辑功能,观察两类波形的差异。 8.根据下载板的情况锁定引脚 9.下载,采用JATG方式进行下载,通过SW0,SW1,SW2输入,观察的LED2,LED3, 亮灭情况,验证全加器的逻辑功能。 四、思考题 1.为什么在实验步骤3中,将半加器保存为half_adder,可否保存为full_adder? 2.对电路进行功能仿真与时序仿真时,发现二者有什么样的区别? 3.为什么要进行引脚锁定? 4.采用层次结构法描述电路有什么样的优点?

一位全加器的设计(学习资料)

课程设计任务书 学生姓名:袁海专业班级:电子1303班 指导教师:封小钰工作单位:信息工程学院 题目: 一位全加器的设计 初始条件: 计算机、ORCAD软件,L-EDIT软件 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周 2、技术要求: (1)学习ORCAD软件,L-EDIT软件。 (2)设计一个一位全加器电路。 (3)利用ORCAD软件对该电路进行系统设计、电路设计,利用L-EDIT软件进行版图设计,并进行相应的设计、模拟和仿真工作。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 2016.12.30布置课程设计任务、选题;讲解课程设计具体实施计划与课程设计报告格式的要求;课程设计答疑事项。 2016.12.31-2017.1.2学习ORCAD软件和L-EDIT软件,查阅相关资料,复习所设计内容的基本理论知识。 2017.1.3-2017.1.4对一位全加器电路进行设计仿真工作,完成课设报告的撰写。 2017.1.5 提交课程设计报告,进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要.................................................................................................................................................. I ABSTRACT ................................................................................................................................... II 1绪论. (1) 1.1集成电路发展现状 (1) 1.2集成电路版图工具L-edit简介 (1) 2全加器原理及一位全加器原理图设计 (3) 2.1一位全加器原理简介 (3) 2.2实现一位全加器功能的原理图设计 (4) 2.2.1一位全加器原理图 (4) 2.2.2基于ORCAD的一位全加器设计 (4) 2.2.3 一位全加器的电路图仿真 (7) 3一位全加器的版图设计 (9) 3.1确定一位全加器版图结构 (9) 3.2源漏共享缩小版图面积 (10) 3.3 版图所需基础器件绘制编辑 (12) 3.3.1 PMOS、NMOS等基础器件编辑 (12) 3.3.2 两输入与非门与异或门的绘制编辑 (13) 3.3.3源漏共享得到版图 (14) 3.4 绘制最终一位全加器版图 (15) 4心得体会 (18) 5参考文献 (19)

1位全加器的设计

1位全加器的设计 一、实验目的 1.熟悉QUARTUSII软件的使用; 2.熟悉实验箱的使用; 3.掌握利用层次结构描述法设计电路。 二、实验原理及说明 由数字电路知识可知,一位全加器可由两个一位半加器与一个或门构成,其原理图如图1所示。该设计利用层次结构描述法,首先设计半加器电路,将其打包为半加器模块;然后在顶层调用半加器模块组成全加器电路;最后将全加器电路编译下载到实验箱,其中ain,bin,cin信号可采用实验箱上SW0,SW1,SW2键作为输入,并将输入的信号连接到红色LED管LEDR0,LEDR1,LEDR2上便于观察,sum,cout信号采用绿色发光二极管LEDG0,LEDG1来显示。 图1.1 全加器原理图 三、实验步骤 1.在QUARTUSII软件下创建一工程,工程名为full_adder,芯片名为EP2C35F672C6 注意工程路径放到指定的数据文件夹,不可放到软件安装目录中; 2.新建Verilog语言文件,输入如下半加器Verilog语言源程序; module half_adder(a,b,s,co); input a,b; output s,co; wire s,co;

assign co=a & b; assign s=a ^ b; endmodule 3.保存半加器程序为half_adder.v,进行功能仿真、时序仿真,验证设计的正确性 4.选择菜单Fil e→Create/Update→Create Symbol Files for current file,创建 半加器模块; 5.新建一原理图文件,在原理图中调用半加器、或门模块和输入,输出引脚,按照图 1所示连接电路。并将输入ain,bin,cin连接到FPGA的输出端,便于观察。完成后另保存full_adder。 6.对设计进行全编译,如出现错误请按照错误提示进行修改。 7.分别进行功能与时序仿真,验证全加器的逻辑功能。 9.下载 采用JATG方式进行下载,通过SW0,SW1,SW2输入,观察的LEDR[0],LEDR[1],LEDR[2],LEDG[0],LEDG[1]亮灭验证全加器的逻辑功能。 四、思考题 1.为什么在实验步骤3中,将半加器保存为half_adder,可否保存为full_adder? 2.对电路进行功能仿真与时序仿真时,发现二者有什么样的区别? 3.为什么要进行引脚锁定? 4.采用层次结构法描述电路有什么样的优点?

电子科技大学集成电路实验报告——版图部分实验报告

微电子与集成电路设计 实验报告 使用L-Edit编辑单元电路布局图 一、实验学时:4学时 二、实验目的 1、熟悉版图设计工具L-Edit的使用环境; 2、掌握L-Edit的使用技巧。 三、实验内容:利用L-Edit绘制一个反相器的版图,并利用提取工具将反相器布局图转化为T-Spice 文件。 四、实验结果: 1、本次版图设计中的设计技术参数、格点设定、图层设定、设计规则采用的是(C:\TannerLb\LEdit\TECH\mosis\morbn20.tdb)文件的。

2、绘制一个L=2u,W由学号确定的PMOS管掩膜版图。 先确定W。W等于学号的最后一位乘以2,若学号最后一位 4,则先加10后再乘以2。所以,要绘制的是一个L=2u,W=( 16 u)的PMOS管掩膜版图。 (当时我没注意要按学号画,是按指导书上画的,截完图会来看报告才发现) 所完成的经DRC检查无错误的PMOS版图为: 该PMOS管的截面图为:

所完成的经DRC检查无错误的NMOS版图为: 该NMOS管的截面图为:

4、运用前面绘制好的nmos 组件与pmos 组件绘制反相器inv 的版图。加入电源Vdd ,地Gnd ,输入A 和输出B 的标号。所完成的DRC 检查无错误的版图为:

5、将反相器布局图转化为T-Spice 文件,该文件的内容为: 五、实验总结与体会: 进行任何实验时对实验原理的的掌握都是最重要的。由于实验前的准备不足,实验时遇到了很多的困难,需要好好复习MOS工艺的的基本知识。在进行版图设计时,需要严格遵循设计规则中对参数、位置的要求,任何的偏差都可能导致错误。所以每进行一步都要进行检 查,修正;但有些错误可以在后续的步骤中自动解决,也需要加以注意。

1位全加器原理图输入设计

南昌航空大学实验报告 年月日 课程名称: EDA技术实验课程名称: 1位全加器原理图输入设计 班级:_09083114___姓名: 同组人:___________________________ 指导老师评定:___________________________签名:________________________ 实验目的 1、熟悉利用Quartus II的原理图输入方法设计简单组合电路,掌握层次化设计的方法,并通过一个全加器的设计把握利用EDA软件进行电子线路设计的详细步骤; 2掌握利用EDA工具进行原理图输入这几、仿真、综合的方法。 实验原理 1位全加器可由两个半加器及或门连接而成;多为全加器可以由多个1位全加器构成,加法器间的进位可以串行方式实现,即将低位加法器的进位输出cout与相邻的高位加法器的最低进位输入信号cin相接。 实验步骤 1.要设计的半加器为h_adder,如图4-1所示,a为被加数,b为加数,so为何,co为进位输出。 图4-1 1为半加器原理图 原理图设计完成后进行编译,编译通过进行下一步操作。 2.波形仿真如图4-2

图4-2 半加器波形仿真图 由波形图可以看出,当a和b都为1是,产生进位,此时co为1,so为0,只有a或者b为1时,不产生进位,co为0,so为1,a和b 都为0时,co和so都为0。 3、1位全加器由两个半加器及或门连接而成,如图4-3所示,ain为被加数,bin 为加数,cin为进位输入,sum为和,cout为进位输出。 图4-3 1位全加器原理图 原理图设计完成后进行编译,编译通过进行下一步操作。 4、1位全加器波形仿真如图4-4所示 图4-4 1位全加器波形仿真图 由波形图的1位全加器的真值表如表4.1所示

8位全加器设计

基于原理图的8位全加器设计 实验目的:熟悉利用Quartus II的原理图输入方法设计简单的组合电路,掌握层次化设计的 方法,并通过一个8位全加器的设计把握利用EDA软件进行原理图输入方式的电子线路设计的详细流程。 实验原理:一个8位全加器可以由8个1位全加器串行构成,即将低位加法器的进位输出 cout与相临的高位加法器的最低位输入信号cin相接。 试验任务:1、完成半加器与全加器的设计。 2、建立一个更高层次的原理图设计,利用以上获得的1位全加器构成8位全加器,完成编译、综合、适配、仿真与硬件测试。 实验步骤: 一、1位全加器设计 1.建立工程文件夹adder,路径d:\adder。 2.输入设计项目与存盘 原理图编辑输入流程如下: (1)打开Quartus II,选择file—>new命令,在弹出的窗口中选择block diagram/schematic file 选项,单击ok按钮后将打开原理图编辑窗口。 (2)在编辑窗口中的任何一个位置上右击,将弹出快捷菜单,选择inset—>symbol命令,将弹出元件输入对话框。 (3)单击“…”按钮,找到基本元件库路径d:/altera/90/quartus/libraries/primitives/logic项(假设软件安装在D盘),选中需要的元件,单击“打开”按钮,此元件即显示在窗口中,然后单击symbol窗口中的ok按钮,即可将元件调入原理图编辑窗口中。也可以在name栏输入需要的元件名。调入好元件与引脚后,连接好电路,再输入各引脚名。 (4)选择file—>save as命令,选择刚才为自己的工程建立的目录d:\adder,将已设计好的原理图取名为h_adder、bdf,并存盘此文件夹内。 3.将设计好的项目设置成可调用的元件 为了构成全加器的顶层设计,必须将以上设计的半加器h_adder、bdf设置成可调用的元件。在打开半加器原理图文件的情况下,选择file—>create/update—>create symbol current file命令,即可将当前文件h_adder、bdf变成一个元件符号存盘,以待高层次设计中调用。 4.设计全加器顶层文件 打开一个原理图编辑窗口,方法同前。在新打开的原理图窗口中双击,在弹出的窗口中选择project选项,选择h_adder、bdf,并调入其她元件,连接好电路。以f_adder、bdf名存在同一路径d:\adder中。 二、8位全加器设计 1.将刚设计好的1位全加器设置成可调用的元件,方法同上。 2.调入元件,连接电路图,以8f_adder、bdf保存于同一路径d:\adder中的文件夹中。 3.将顶层文件8f_adder、bdf设置为工程。 4.编译与仿真 原理图与仿真波形分析:

4位全加器设计解析

可编程逻辑器件设计大作业 题目四位全加器设计 学院自动化与电气工程学院 班级 姓名 学号 2104年12月30 日

目录 摘要 (1) 1.设计目的 (2) 2.设计要求 (2) 3.设计原理 (2) 3.1.四位全加器 (2) 3.2.四位全加器的原理图 (4) 4.设计方案 (4) 4.1.仿真软件 (4) 4.2.全加器原理 (5) 4.2.1一位全加器的设计与原理 (5) 4.2.2四位全加器的原理及程序设计 (5) 5.程序设计 (7) 6.仿真及结果 (8) 总结与体会 (10) 参考文献 (11)

摘要 VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式、描述风格以及语法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可视部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。 本次设计是用VHDL语言设计四位全加器,并用Quartus II仿真。 关键词:VHDL 四位全加器Quartus II

四位全加器设计 1.设计目的 复习加法器的原理,掌握加法器的设计实现方法,设计实现数字系统设计中常用的4位全加器,在此基础上进一步熟悉MAX+PLUSⅡ或Quartus II软件的使用方法,熟练掌握EDA的图形编程方法、开发流程、以及组合逻辑电路的设计、分析、综合、仿真方法。 2.设计要求 1)复习EDA的相关技术与方法; 2)掌握VHDL或者Verilog语言,并要求能编写程序。 3)Quartus软件的使用:掌握程序编辑、编译、调试、仿真方法。 4)设计相关简单的电路,完成既定的功能。 3.设计原理 3.1.四位全加器 加法器是数字系统中的基本逻辑器件。例如:为了节省资源,减法器和硬件乘法器都可由加法器来构成。但宽位加法器的设计是很耗费资源的,因此在实际的设计和相关系统的开发中需要注意资源的利用率和进位速度等两方面的问题。 多位加法器的构成有两种方式:并行进位和串行进位方式。并行进位加法器设有并行进位产生逻辑,运算速度快;串行进位方式是将全加器级联构成多位加法器。通常,并行加法器比串行级联加法器占用更多的资源,并且随着位数的增加,相同位数的并行加法器比串行加法器的资源占用差距也会越来越大。 四位全加器可对两个多位二进制数进行加法运算,同时产生进位。当两个二进制数相加时,较高位相加时必须加入较低位的进位项(Ci),以得到输出为和(S)和进位(C0)。 其中CIN表示输入进位位,COUT表示输出进位位,输入A和B分别表示

相关主题
文本预览
相关文档 最新文档