当前位置:文档之家› 数字电路抢答器设计

数字电路抢答器设计

数字电路抢答器设计
数字电路抢答器设计

西安邮电学院

数字电路课程设计报告书

——数字抢答器

数字电路课程设计——抢答器的设计一实验目的

四路数字抢答器的设计

二实验要求

1、要求至少控制四人抢答,允许抢答时间为10秒,输入抢答型

号是在“抢答开始”命令后的规定时间内,显示抢先抢答者

的组号;亮绿灯并锁存组号。

2、在“抢答开始”命令前抢答者,显示违规抢答者的组号;亮红

灯,并锁存组号。

3、在“抢答开始”命令发出后,超过规定的时间无人抢答,显示

无用字符(数码管的倒计时显示为0,主持人开关灯灭)。

4、选做功能:要求不仅要显示组号,还要显示抢答的次序。

三实验框图及总体设计

如图所示为总体方框图。其工作原理为:接通电源后,主持人

开关开始时接地处于禁止状态,编号显示器灭灯,开关灯灭,定时器显示设定时间;主持人将开关置“开始"端,宣布"开始",开关灯亮,抢答器工作,同时定时器倒计时。选手犯规提前抢答时,抢答器完成:编号锁存、编号显示以及对应红灯亮。选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示。当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示剩余时间。如果再次抢答必须由主持人再次将开关接地并重新复位。

四主要单元电路设计

1、输入控制电路:

此电路要求能够区分抢答者是否违规:若输入的抢答信号是在“抢答开始”命令下达之前发出,则该抢答者犯规,输入控制电路应

发出“抢答无效”信号,并配合抢先信号锁定电路使抢答者所在组对应的指示灯亮;若抢答信号是在“抢答开始”命令下达之后发出,则抢答有效。经过分析,我认为主持人控制可以用控制电源开关来实现,判断抢答信号是否有效可以用抢先信号锁定电路配合基本的与非门和发光二极管来实现。

2、555脉冲电路:由555定时器构成的多谐振荡器,使其产生需要的方波作为触发器和计数器的CP脉冲,电路如下图所示:

UDD接+5V电源,C为4.7Uf,Rp为150K,R为4.7K,第3管脚为CP脉冲的输出端。

3、用一片74LS161、74LS04译码器74LS48做成。把161做成模十计数器,开始时置数端为0110,161的输出端各接一个非门,就做成了倒计时计数器,再经过48译码,数码管显示9,当从0110一直循环到1111,完成一次循环后,当它再变成0000时,非门输出的结果是1111,这时4个信号接入一个与非门出来接到161的

CT端,完

T

成保持功能,主持人开关直接接到161的LD端,而161的

CT端接的

P

是74ls148的

Y端,161非完的输出信号接入74ls48并接数码显示管,ex

当有人在规定时间内抢答时,

CT变0,161输出保持,数码显示管锁

P

定时间,当有人提前抢答时,因为这时LD端为0,所以161输出处于锁定状态,对计时没有影响,当10秒后无人抢答时,

CT端为0,

T

161又处于锁定状态,时间不变,四与非门的输出信号是和前面说过

Y的非相与接入抢答电路部分的74ls48的RBO端,10秒后无人抢ex

答时,抢答电路的74ls48停止工作,数码显示管显示0,直到主持人重新开始重置和开始。

74LS161功能真值表:

主持人打开开关后,开始计数;通过一个74LS04实现时钟倒计功能;计数器的时钟脉冲由秒脉冲电路提供。电路图如下所示:

4、时序控制电路: 由74LS148编码器,74LS75D触发器,74LS48译码器和数码管组成

时序控制电路是抢答器设计的关键,它要完成以下三项功能:

①主持人将控制开关打开后,抢答电路和定时电路进人正常抢答工作状态。

②当参赛选手按动抢答键时,抢答电路和定时电路停止工作。

③当设定的抢答时间到,无人抢答时,同时抢答电路和定时电路停止工作,且数码管计时为零,且主持人开关灯灭。

五、总体电路图

六,电路仿真图

七电路组装、调试过程中发生的问题及解决方法:

1.实验前应先设计电路图,包括各部分的电路图和总体电路图,并反复检查,反复分析实现的可能性,预计实验中可能出现的问题即可能的解决方法,最好在实际连电路前仿真。

2.理论指导实践,但是,理论中有不少纯理想化的成分,在实验中应考虑实践中各部分合理论的差距,差别。在实际中,电阻,电容,的真实值不会那样准确。各器件的输入端在理论中悬空是表示接高电平,但是实际的逻辑器件如果输入端本该接高电平而没接,可能会导致元件的工作不稳定。

3.在实际联电路时,会出现接触不好等情况。这是由于面包板的质量和连线时线头裸露部分没有完好的接触面包板的铜片造成的。这时只能用万用表测量各个接头的电压来判断是否是接触不好。

4.应该注意发光二极管应接上行或下行电阻来保护他的电压不致过大而损坏二极管。数码管也要通过电阻接地,防止将数码管烧坏。

5.应注意每个元器件的工作原理和工作功能,以及中规模逻辑器件的管脚图,以免因此而造成不必要的过失。

6.连接电路时,先连接脉冲发生电路,并检测其是否正常工。555脉冲输出电路不能输出脉冲时,检查的方法是看电容和电阻接触是否好。

7.7475不能锁定,检查ENABLE端的电压是低电平不,逐级排查直到找出问题所在。

8.连电路时,有的芯片可能是坏的,有的面包板也可能有问题,这要用万用表进行检测其连通性。

八小结

通过两周的实习,基本上达到了实验的目的,对数字电路基本知识更加熟悉,培养了独立分析问题和解决问题的能力,对各个元器件也有了更深入的了解。刚开始设计电路时觉得一点头绪也没有,只是觉得很难。不过在老师的指导下,我通过查资料,请教同学,在第一周内完成了电路的设计,并进行了仿真。第二周连接电路,这也不是一件容易的事,我连的电路问题很多,有的是因为线接触不好,有的是因为自己太粗心线连错了,反正经过我的努力和向老师同学请教,问题都被解决了。看着自己努力连接成功的电路,觉得好高兴。不过也正是这次实验让我明白了理论与实践之间的差异。我设计的电路在电路仿真中完全正确,可是当我接好电路后,它却和我预期的相差很多。这也使得我的动手能力得到了提高。在设计电路时,设计的电路应尽可能的简单。然后用万用表检查面包板及各芯片是否正常,不正常就赶快换。接电路的时候,按模块接,先逐块连好,同时逐块检查是否正常,一块连好后再连下一块。连线时布局是很重要的,芯片的位置也很有讲究,连线时应尽量把所有芯片的位置分开,便于布线。不然的话,芯片之间的距离太窄,容易造成别的线无法过去。连线时应尽量让所有的线都贴着面包板,不要让线交叉,这样当发现线路有问题时就比较好改。

这次课程设计对于我来说收获很大,留给我印象最深的是要设计一个成功的电路,必须要有耐心,要有坚持的毅力。在整个电路的设计过程中,花费时间最多的是各个单元电路的连接及电路的细节设

计上,我是一个只注重理论不注重实践的人。通过本次实验,我认识到了实践的重要,也提高了我的实际动手能力。我们要学会把理论联系实际,而这次课程设计就是一个很好的机会,不仅能提高我们的理论知识,而且也培养了我们的实际动手能力。尽管在中间遇到很多问题,但是经过自己的思考和同学的帮助,使得这次实验能顺利完成,而且在讨论中我们也学到了很多知识,而且也对也前的知识做了一个很好的回顾。

在这次实验中,我体会到在进行一个综合性的硬件设计时,要全面考虑问题,比如想用其他信号来控制一个信号,就要考虑到和这个信号直接或间接关系的信号,必须是最重要相关的信号,然后用真值表来解决他们的关系,通过门电路来实现。下来就是在实际动手连接电路时的问题,由于种种客观方面的原因,导致理想和现实相差太远,输入电路不可以悬空,悬空并不是高电平,芯片上有接电源和接地的悬空是高电平,但这个高电平并不是,有时候它不是怎么高,这样地话,就会对后面地时序电路产生影响。

在通过这两个礼拜地设计实习,让我真正理解了书本上知识,也让我知道我们课本上的知识在实际中怎么应用,理论联系实践,相互关系。通过此次设计,我对理论知识的学习有了很大的兴趣,现在我可以主动的去学习,我明白自己该学习那个方面,重点是什么?我也掌握的了在理论中遇到问题,应该怎样去解决,在实际中遇到迷团应该怎样去检查调试。

最后,我非常感谢每天一直在实验室指到我们的老师。因为是

他们使我在这次设计中受益非浅。

参考文献:

王毓银主编《数字电路逻辑设计》(第三版)高等教育出版社

鬲淑芳主编《数字电子技术基础》陕西师范大学出版社

西安邮电学院电信系数字电路课程设计过程考核表

西安邮电学院电信系数字电路课程设计成绩鉴定表

数字电路课程设计实验报告1

序言 在测试、研究或调整电子电路及设备时,为测定电路的一些点参量,如测量频率响应、噪声系数,为电压表定度等,都要求提供符合所需技术要求的电信号,以模拟在实际工作中使用的待测设备的激励信号。信号发生器即由此而来,作为电子设计中常用仪器仪表,信号发生器又称信号源,可以用来产生被测电路所需特定参数的电测试信号。根据输出波形的不同,信号源可以分为正弦波信号发生器、矩形脉冲信号发生器、函数信号发生器和随机信号发生器等四大类。例如当要求进行系统的稳定性测量时,需使用振幅、波形、幅值等能在一定范围内进行精确调整,有很好的稳定性,有输出指示。基于信号发生器的广泛使用,对信号发生器设计的研究就显得非常有意义。 本课题是利用VHDL语言来实现计费功能的,VHDL具有与具体硬件电路无关和与设计平台无关的特性,并且具有良好的电路行为描述和系统描述的能力,并在语言易读性和层次化、结构化设计方面,表现了强大的生命力和应用潜力,因此选用VHDL语言进行编程。 本次课程设计巩固和运用了所学课程,通过理论联系实际,提高了分析、解决计算机技术实际问题的独立工作能力,通过对一个函数信号发生器的设计,进一步加深了对计算机原理以及数字电路应用技术方面的了解与认识,进一步熟悉了数字电路系统设计、制作与调试的方法和步骤。进一步了解了计算机组成原理与系统结构,使自己对EDA技术的学习更深入,学会用VHDL语言去控制将会使我们对本专业知识可以更好地掌握。 现在的信号发生器设计有以下几种方法: (1) 模拟直接合成法。这种方法充分利用了乘法器、除法器、混频器、滤波器和快速开关构成合成信号发生器,但是它的缺点是带宽不够高,性能差,构成信号发生器的电路体积比较庞大,而且功耗较高。

66 数字电路综合设计

6.6 数字电路综合设计 6.6.1汽车尾灯控制电路 1. 要求:假设汽车尾部左右两侧各有三个指示灯(用发光二极管模拟),要求汽车正常运行时指示灯全灭;右转弯时,右侧3个指示灯按右循环顺序点亮;左转弯时左侧三个指示灯按左循环顺序点亮;临时刹车时所有指示灯同时闪烁。2.电路设计: (1)列出尾灯和汽车运行状态表如表6.1所示 (2)总体框图:由于汽车左或右转弯时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。由此得出在每种运行状态下,各指示灯和各给定条件(S1、S0、CP、Q1、Q0)的关系,即逻辑功能表(如表6-2所示(表中0表示灯灭,1表示灯亮)。 由表6-2得总体框图如图6.6-1所示 图6.6-1汽车尾灯控制电路原理框图

(3)单元电路设计 三进制计数器电路可根据表6-2由双J—K触发器74LS76构成。 汽车尾灯控制电路如图6.6-2所示,其显示驱动电路由6个发光二极管构成;译码电路由3—8线译码器74LSl38和6个和门构成。74LSl38的三个输入端A2、A1、A0分别接S1、Q1、Q0,而Q1Q0是三进制计数器的输出端。当S1=0,使能信号A=G=1,计数器的状态为00,01,10时,74LSl38对应的输出端 Y、1Y、2Y依次为0有效(3Y、4Y、5Y信号为“1” 0 无效),反相器G1—G3的输出端也依次为0,故指示灯D1→D2→D3按顺序点亮,示意汽车右转弯。若上述条件不变,而S1=1,则74LSl38对应的输出端 Y、5Y、6Y依次为0有 4 效,即反相器G4~G6的输出端依次为0,故指示灯D4→D5→D6按顺序点亮,示意汽车左转弯。当G=0,A=1时,74LSl38的输出端全为1,G6~G1的输出端也全为1,指示灯全灭;当G=0,A=CP时,指示灯随CP的频率闪烁。 对于开关控制电路,设74LSl38和显示驱动电路的使能

8路数字抢答器课程设计报告

八路抢答器课程设计报告设计课题:数显八路抢答器 专业班级 08电气一班 学生姓名:陈建运 - 学号:06 指导教师: 设计时间: 2010-12 %

目录 课程设计任务书 (2) 摘要 (4) )Abstract (5) 一、实验目的 (6) 二、设计要求与内容 (6) 三、设计及原理 (7) 总体方案设计 (7) 设计思路 (7) 总电路框图 (7) 各模块设计方案及原理说明 (8) \ 抢答电路 (8) 倒计时电路 (12) 四、电路仿真 (14) 抢答电路 (14) 倒计时电路 (17) 五、实验结果及分析 (20) 六、收获、体会和建议 (22) 附录 (25) > 1.总电路图 (25) 2. 元件引脚图 (26) 3.元器件清单 (28) 主要参考文献 (29) `

¥ 摘要 抢答器作为一种工具,已经广泛应用于各种智力和知识竞赛场合。本设计以八路智力竞赛抢答器为基本概念,从实际应用出发,利用电子设计自动化( EDA)技术,用数字、模拟电子器件设计具有扩充功能的抢答器。该抢答器的设计利用peotul完成了原理图设计和电路仿真,具有数字显示、倒计时显示、编码译码功能,应用效果良好。 关键词: 电子设计自动化;数字电子技术;抢答器;仿真 Abstract 。 Responder is a tool that has been widely used in various intelligence and knowledge competitions occasions. The design to eight-way quiz Responder basic concepts, from the practical application, the use of electronic design automation (EDA) technology, with digital, analog electronics design extension of the answering device. The design of the Responder use Multisim11 completed the schematic design and circuit simulation, with digital display, countdown shows, coding and decoding functions, with good results.

数字电子设计_八路抢答器介绍

数字电子技术 课程设计任务书 专业 班级 姓名 学号 指导老师 年月日 学院

目录 摘要 第一章设计技术要求 第二章系统的组成框图及工作原理第三章单元电路设计 1.1 抢答电路的设计 1.2 定时电路的设计 1.3 报警电路的设计 1.4 时序控制电路的设计 第四章整机电路的设计 第五章元件清单 第六章参考文献 第七章设计总结

摘要 进入21世纪越来越来多的电子产品出现在人们的日常生活中,例如企业、学校和电视台等单位常举办各种智力竞赛, 抢答记分器是必要设备。 主要介绍了数码显示八路抢答器电路的组成、设计及功能,电路采用74系列常用集成电路进行设计。该抢答器除具有基本的抢答功能外,还具有定时、计时和报警功能。主持人通过时间预设开关预设供抢答的时间,系统将完成自动倒计时。若在规定的时间内有人抢答,则计时将自动停止;若在规定的时间内无人抢答,则系统中的蜂鸣器将发响,提示主持人本轮抢答无效,实现报警功能,若超过抢答时间则抢答无效。 该抢答器主要运用到了编码器,译码器和锁存器:它采用74LS148来实现抢答器的选号,采用74LS279芯片实现对号码的锁存,采用74LS192实现十进制的减法计数,采用555芯片产生秒脉冲信号来共同实现倒计时功能。 关键词: 抢答器编码译码定时报警 第一章设计技术的要求 (1)设计8路抢答器,编号与参赛选手一一对应。 (2)具有优先显示抢答序号及时间的功能并禁止其他选手的抢答。(3)主持人预置抢答时间,控制比赛的开始与结束。 (4)报警电路:主持人按下“开始”键时报警并进入抢答状态;当抢答者发出抢答信号时报警提示;在规定抢答终止时间到时报警。 第二章系统的组成框图及工作原理 抢答器的组成框图

数字电路组合逻辑电路设计实验报告

数字电路组合逻辑电路设 计实验报告 The Standardization Office was revised on the afternoon of December 13, 2020

实验三组合逻辑电路设计(含门电路功能测试)

一、实验目的 1.掌握常用门电路的逻辑功能 2.掌握小规模集成电路设计组合逻辑电路的方法 3.掌握组合逻辑电路的功能测试方法 二、实验设备与器材 Multisim 、74LS00 四输入2与非门、示波器、导线 三、实验原理 TTL集成逻辑电路种类繁多,使用时应对选用的器件做简单逻辑功能检查,保证实验的顺利进行。 测试门电路逻辑功能有静态测试和动态测试两种方法。静态测试时,门电路输入端加固定的高(H)、低电平,用示波器、万用表、或发光二极管(LED)测

出门电路的输出响应。动态测试时,门电路的输入端加脉冲信号,用示波器观测输入波形与输出波形的同步关系。 下面以74LS00为例,简述集成逻辑门功能测试的方法。74LS00为四输入2与非门,电路图如3-1所示。74LS00是将四个二输入与非门封装在一个集成电路芯片中,共有14条外引线。使用时必须保证在第14脚上加+5V电压,第7脚与底线接好。 整个测试过程包括静态、动态和主要参数测试三部分。 表3-1 74LS00与非门真值表 1.门电路的静态逻辑功能测试 静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否。实验时,可将74LS00中的一个与非门的输入端A、B分别作为输入逻辑变量,加高、低电平,观测输出电平是否符合74LS00的真值表(表3-1)描述功能。

数字电路与逻辑设计实验实验四

中山大学南方学院 电气与计算机工程学院 课程名称:数字电路与逻辑设计实验实验题目:译码显示电路

附:实验报告 专业:电子信息科学与技术年级:18 完成日期:2020年7月05日学号:182018010 姓名:叶健行成绩: 一、实验目的 (一)掌握中规模集成译码器的逻辑功能和使用方法。 (二)熟悉数码管的使用。 二、实验原理 (一)数码显示译码器 1、七段发光二极管(LED)数码管 LED数码管是目前最常用的数字显示器,图1 (a)、(b)为共阴管和共阳管的电路,(c)为两种不同出线形式的引出脚功能图。 一个LED数码管可用来显示一位0~9十进制数和一个小数点。小型数码管(0.5寸和0.36寸)每段发光二极管的正向压降,随显示光(通常为红、绿、黄、橙色)的颜色不同略有差别,通常约为2~2.5V,每个发光二极管的点亮电流在5~10mA。LED数码管要显示BCD码所表示的十进制数字就需要有一个专门的译码器,该译码器不但要完成译码功能,还要有相当的驱动能力。 (a) 共阴连接(“1”电平驱动)(b) 共阳连接(“0”电平驱动)

(c) 符号及引脚功能 图1 LED 数码管 2、BCD 码七段译码驱动器 此类译码器型号有74LS47(共阳),74LS48(共阴),CC4511(共阴)等,本实验系采用74LS48 BCD 码锁存/七段译码/驱动器。驱动共阴极LED 数码管。图2为74LS48引脚排列。 其中 A 、B 、C 、D — BCD 码输入端 a 、 b 、 c 、 d 、 e 、 f 、 g — 译码输出端,输出“1”有效,用来驱动共阴极LED 数码管。 LT — 灯 测试输入端,LT =“0”时,译码输出全为“1” BI R — 灭 零 输入端,BI R =“0”时,不显示多余的零。 RBO /BI — 作为输入使用时,灭灯输入控制端; 作为输出端使用时,灭零输出端。 (二)扫描式显示 对多位数字显示采用扫描式显示可以节电,这一点在某些场合很重要。对于某些系统输出的的数据,应用扫描式译码显示,可使电路大为简化。有些系统,比如计算机,某些A/D 转换器,是以这样的形式输出数据的:由选通信号控制多路开关,先后送出(由高位到低位或由低位到高位)一位十进制的BCD 码,如图(三)所示。图中的Ds 称为选通信号,并假定系统按先高位后低位的顺序送出数据,当Ds1高电平送出千位数,Ds2高电平送出百位数,……一般Ds 的高电平相邻之间有一定的间隔,选通信号可用节拍发生器产生。 如图(四)所示,为这种系统的译码扫描显示的原理图。图中各片 LED

数字电路实验报告

数字电路实验报告 姓名:张珂 班级:10级8班 学号:2010302540224

实验一:组合逻辑电路分析一.实验用集成电路引脚图 1.74LS00集成电路 2.74LS20集成电路 二、实验内容 1、组合逻辑电路分析 逻辑原理图如下:

U1A 74LS00N U2B 74LS00N U3C 74LS00N X1 2.5 V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V GND 图1.1组合逻辑电路分析 电路图说明:ABCD 按逻辑开关“1”表示高电平,“0”表示低电平; 逻辑指示灯:灯亮表示“1”,灯不亮表示“0”。 真值表如下: A B C D Y 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 1 表1.1 组合逻辑电路分析真值表 实验分析: 由实验逻辑电路图可知:输出X1=AB CD =AB+CD ,同样,由真值表也能推出此方程,说明此逻辑电路具有与或功能。 2、密码锁问题: 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开;否则,报警信号为“1”,则接通警铃。

试分析下图中密码锁的密码ABCD 是什么? 密码锁逻辑原理图如下: U1A 74LS00N U2B 74LS00N U3C 74LS00N U4D 74LS00N U5D 74LS00N U6A 74LS00N U7A 74LS00N U8A 74LS20D GND VCC 5V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V X1 2.5 V X2 2.5 V 图 2 密码锁电路分析 实验真值表记录如下: 实验真值表 A B C D X1 X2 0 0 0 0 0 1 0 0 0 1 0 1 0 0 1 0 0 1 0 0 1 1 0 1 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 0 1 1 0 0 0 0 1 1 0 0 1 1 0 1 0 1 0 0 1 1 0 1 1 0 1 1 1 0 0 0 1 1 1 0 1 0 1 1 1 1 0 0 1 1 1 1 1 0 1 表1.2 密码锁电路分析真值表 实验分析: 由真值表(表1.2)可知:当ABCD 为1001时,灯X1亮,灯X2灭;其他情况下,灯X1灭,灯X2亮。由此可见,该密码锁的密码ABCD 为1001.因而,可以得到:X1=ABCD ,X2=1X 。

8路抢答器的设计报告(数字电路课程设计)资料

《数字电子技术》课程设计报告 8路智力抢答器 设计与制作 设计要求: 1、可同时供8名选手或8个代表队参加比赛; 2、主持人控制系统的清零(编号显示数码管灭灯)和抢答 的开始; 3、抢答器具有数据锁存和显示的功能; 4、抢答器具有定时抢答的功能,且一次抢答的时间可以由 主持人设定; 5、具有报警功能。 成绩:评阅人: XX科技学院理学院

8路智力抢答器 设计与制作 8路智力抢答器是一种用数字电路技术实现由主持人控制、定时抢答、报警功能的装置。他是在规定的时间内进行抢答。一旦有人抢答,显示器上会同时显示抢答时间和抢答选手号码。当超出规定时间时,即使抢答,不会显示选手号码。 8路智力抢答器包括组合逻辑电路和时序电路。通过此次设计与制作,进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于8路智力抢答器包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。 一、设计要求 (一)设计指标 1、计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,他们的编号分别是0、1、 2、 3、 4、 5、 6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S0——S7。 2、给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 3、抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管行显示出选手的编号,

同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 4、抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定(如30s)。当节目主持人启动“开始”键后,要求定时器立即减计时,并用显示器显示,同时扬声器发出短暂的声响。 5、参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 6、如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显示器上显示00。 (二)设计要求 1、画出电路原理图(或仿真电路图); 2、元器件及参数选择; 3、电路仿真与调试; (三)制作要求自行装配和调试,并能发现问题和解决问题。 (四)编写设计报告写出设计与制作的全过程,附上有关资料和图纸,有心得体会。 二、原理框图 抢答器系统原理框图如下所示。它由主体电路和扩展电路两部分组成,主体电路完成基本抢答后,选手按动抢答键时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答,扩展电路完成定时

八路数字显示抢答器的设计要点

目录 1实习目的与要求 (2) 2实习内容 (3) 2.1电路设计……………….……………………………………………………. .3 2.1.1抢答电路设计 (3) 2.1.2定时电路设计 (4) 2.1.3报警电路设计 (5) 2.1.4时序控制电路设计 (6) 2.2整体电路设计 (6) 2.3 电路的仿真 (6) 2.3.1 抢答电路的仿真 (7) 2.3.2定时电路的仿真 (8) 2.3.3脉冲发生电路的仿真 (9) 2.3.4报警电路的仿真 (11) 3心得体会 (11) 参考文献 (12) 附录 (13) 附录A 整体仿真图 (13) 附录B 整体图 (13)

1实习目的要求 实习内容 本次实习的内容是独立完成一个八路数显抢答器的设计,采用电路仿真设计软件完成竞赛抢答器电路的设计及仿真调试,在微机上仿真实现数字式竞赛抢答器的设计。 实习具体内容为:比赛中为了准确、公正、直观地判断出第一抢答者,所设计的抢答器通常由数码显示、灯光、音响等多种手段指示出第一抢答者。同时还应设计记分、犯规和奖惩记录等多种功能。 设计要求: 1、基本部分 (1) 抢答器可供八组使用,组别键(信)号可以锁存;抢答指示用发光二极管(LED)。 (2) 记分部分独立(不受组别信号控制),至少用2位二组数码管指示,步 进有10分、5分两种选择,并且具有预置、递增、递减功能。 (3) 要求性能可靠、操作简便。 2、发挥部分(选做) 数字智力竞赛抢答器(自动记分)原理框图

(1) 增加抢答路数,数码管显示其组别键(信)号。 (2) 自动记分(受组别信号控制):当主持人分别按步进得分键、递增键或递减键后能够将分值自动累计在某组记分器上)。 (3) 超时报警。 实习任务要求 1、画出总体设计框图,以说明数字式竞赛抢答器由哪些相对独立的功能模块组成,标出各个模块之间互相联系,时钟信号传输路径、方向和频率变化。并以文字对原理作辅助说明。 2、设计各个功能模块的电路图,加上原理说明。 3、选择合适的元器件,在仿真软件上连接验证、仿真、调试各个功能模块的电路。在连接验证时设计、选择合适的输入信号和输出方式,在充分电路正确性同时,输入信号和输出方式要便于电路的仿真、调试和故障排除。 4、在验证各个功能模块基础上,对整个电路的元器件和连接,进行合理布局,进行整个数字钟电路的连接验证、仿真、调试。 5、自行接线验证、仿真、调试,并能检查和发现问题,根据原理、现象和仿真结果分析问题所在,加以解决。学生要解决的问题包括元器件选择、连接和整体设计引起的问题。 2实习内容 2.1电路设计 2.1.1 抢答电路设计 如图1所示为抢答电路图。电路选用优先编码器 74LS148 和锁存器74LS297 来完成。该电路主要完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号(显示电路采用七段数字数码显示管);二是禁止其他选手按键,其按键操作无效。工作过程:开关S置于"

数字电路实验计数器的设计

数字电路与逻辑设计实验报告实验七计数器的设计 :黄文轩 学号:17310031 班级:光电一班

一、实验目的 熟悉J-K触发器的逻辑功能,掌握J-K触发器构成异步计数器和同步计数器。 二、实验器件 1.数字电路实验箱、数字万用表、示波器。 2.虚拟器件: 74LS73,74LS00, 74LS08, 74LS20 三、实验预习 1. 复习时序逻辑电路设计方法 ①根据设计要求获得真值表 ②画出卡诺图或使用其他方式确定状态转换的规律 ③求出各触发器的驱动方程 ④根据已有方程画出电路图。 2. 按实验内容设计逻辑电路画出逻辑图 Ⅰ、16进制异步计数器的设计 异步计数器的设计思路是将上一级触发器的Q输出作为下一级触发器的时钟信号,置所有触发器的J-K为1,这样每次到达时钟下降沿都发生一次计数,每次前一级 触发器从1变化到0都使得后一级触发器反转,即引发进位操作。 画出由J-K触发器组成的异步计数器电路如下图所示:

使用Multisim仿真验证电路正确性,仿真图中波形从上到下依次是从低位到高位 触发器的输出,以及时钟信号。: 可以看出电路正常执行16进制计数器的功能。 Ⅱ、16进制同步计数器的设计 较异步计数器而言,同步计数器要求电路的每一位信号的变化都发生在相同的时间点。

因此同步计数器各触发器的时钟脉冲必须是同一个时钟信号,这样进位信息就要放置在J-K 输入端,我们可以把J-K端口接在一起,当时钟下降沿到来时,如果满足进位条件(前几位触发器输出都为1)则使JK为1,发生反转实现进位。 画出由J-K触发器和门电路组成的同步计数器电路如下图所示 使用Multisim仿真验证电路正确性,仿真图中波形从上到下依次是从低位到高位触发器的输出,计数器进位输出,以及时钟信号。:

数字电路课程设计

数字电路课程设计 一、概述 任务:通过解决一两个实际问题,巩固和加深在课程教学中所学到的知识和实验技能,基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力,为今后从事生产和科研工作打下一定的基础。为毕业设计和今后从事电子技术方面的工作打下基础。 设计环节:根据题目拟定性能指标,电路的预设计,实验,修改设计。 衡量设计的标准:工作稳定可靠,能达到所要求的性能指标,并留有适当的裕量;电路简单、成本低;功耗低;所采用的元器件的品种少、体积小并且货源充足;便于生产、测试和维修。 二、常用的电子电路的一般设计方法 常用的电子电路的一般设计方法是:选择总体方案,设计单元电路,选择元器件,计算参数,审图,实验(包括修改测试性能),画出总体电路图。 1.总体方案的选择 设计电路的第一步就是选择总体方案。所谓总体方案是根据所提出的任务、要求和性能指标,用具有一定功能的若干单元电路组成一个整体,来实现各项功能,满足设计题目提出的要求和技术指标。 由于符合要求的总体方案往往不止一个,应当针对任务、要求和条件,查阅有关资料,以广开思路,提出若干不同的方案,然后仔细分析每个方案的可行性和优缺点,加以比较,从中取优。在选择过程中,常用框图表示各种方案的基本原理。框图一般不必画得太详细,只要说明基本原理就可以了,但有些关键部分一定要画清楚,必要时尚需画出具体电路来加以分析。 2.单元电路的设计 在确定了总体方案、画出详细框图之后,便可进行单元电路设计。 (1)根据设计要求和已选定的总体方案的原理框图,确定对各单元电路的设计要求,必要时应详细拟定主要单元电路的性能指标,应注意各单元电路的相互配合,要尽量少用或不用电平转换之类的接口电路,以简化电路结构、降低成本。

8路数字抢答器设计说明

简易8路数显抢答器: 简单实用的八路数显抢答器,主要包括抢答、编码、优先锁存、数显、复位及音频振荡等电路。元器件主要包括 CD4511.N E555、IN 4148、三极管(901 4)、LED 共阴极数码管、扬声器、小型按钮开关及电阻电容等。 说明: 抢答数显电路: J1~J8八个按钮开关组成抢答键。D1~D12十二个二极管组成编码器,将抢答键按对应的BCD 码进行编码,并将所得的高电平加在CD4511所对应的输入端。CD4511是一块含BCD —七段锁存/译码/驱动电路于一体的集成电路。CD4511的 1、2、 6、7脚为BCD 码输入端,9~15脚为显示输出端。3脚为测试端(LT),当L T 为“0 ”时,输出全为“1 ”。4脚为消隐端(B I ),当B I 为“0 ”时,输出全为“0 ”,因此此时可以清除锁存器内的数值,即可使用为复位端。5脚为锁存允许端(L E ),当L E 端由“0 ”→“1 ”时,a 、b 、c 、d 、e 、f 、g 七个输出端保持在LE 为“0”时所加BCD 码对应的数码显示状态。 16、8脚分别接电源正负极。由CD4511的引脚图可知, 6、2、 1、7脚分别代表BCD 码的 8、4、

2、1位。按下对应的键,即可得到 0001、0010、 0011、0100、 01、0110、 0111、1000八个一系列的BCD 码。高电平加在CD4511对应的输入端上,便可以由其内部电路译码为十进制数在数码管上显示出来。优先锁存电路由两个二极管( D13、D14)、一个三极管(VT)、两个电阻及CD4511的锁存允许端(LE)完成。在初始状态或复位后的状态时,CD4511输入端都与一个电阻(10K)串联接地,所以此时BCD码输入端为“00”,则CD4511输出端a、b、c、d、e、f 均为高电平,g 为低电平,且数码显示为“0”。而当d 为高电平,三极管(VT)导通及g 为低电平时, D13、D14的正极均为低电平,使CD4511的LE 端为低电平“0”,可见,此时没有锁存即允许BCD码输入。而当任一抢答键按下时,由数码显示可知,CD4511输出端d 输出为低电平或输出端g输出为高电平,两个状态必有一个存在或着都存在。迫使CD4511的LE端,由“0”→“1”,即将首先输入的BCD 码显示的数字锁存并保持。此刻,其它按键编码就无法输入,从而达到了抢答的目的。音频振荡电路为NE555组成的多谐振荡器推动扬声器发出讯响声。四只二极管(IN4148)组成二极管或门电路分别接CD4511的 1、2、 6、7引脚,为NE555提供电源+Ucc,即任何抢答键按下时,扬声器都能发出报警声。元器件清单 序号910元件名称 电阻 电阻

数字电路组合逻辑电路设计实验报告

实验三组合逻辑电路设计(含门电路功能测试)

一、实验目的 1.掌握常用门电路的逻辑功能 2.掌握小规模集成电路设计组合逻辑电路的方法 3.掌握组合逻辑电路的功能测试方法 二、实验设备与器材 Multisim 、74LS00 四输入2与非门、示波器、导线 三、实验原理 TTL集成逻辑电路种类繁多,使用时应对选用的器件做简单逻辑功能检查,保证实验的顺利进行。 测试门电路逻辑功能有静态测试和动态测试两种方法。静态测试时,门电路输入端加固定的高(H)、低电平,用示波器、万用表、或发光二极管(LED)测出门电路的输出响应。动

态测试时,门电路的输入端加脉冲信号,用示波器观测输入波形与输出波形的同步关系。 下面以74LS00为例,简述集成逻辑门功能测试的方法。74LS00为四输入2与非门,电路图如3-1所示。74LS00是将四个二输入与非门封装在一个集成电路芯片中,共有14条外引线。使用时必须保证在第14脚上加+5V电压,第7脚与底线接好。 整个测试过程包括静态、动态和主要参数测试三部分。 表3-1 74LS00与非门真值表 1.门电路的静态逻辑功能测试 静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否。实验时,可将74LS00中的一个与非门的输入端A、B分别作为输入逻辑变量,加高、低电平,观测输出电平是否符合74LS00的真值表(表3-1)描述功能。 测试电路如图3-2所示。试验中A、B输入高、低电平,由数字电路实验箱中逻辑电平产生电路产生,输入F可直接插至逻辑电平只是电路的某一路进行显示。

仿真示意 2.门电路的动态逻辑功能测试 动态测试用于数字系统运行中逻辑功能的检查,测试时,电路输入串行数字信号,用示波器比较输入与输出信号波形,以此来确定电路的功能。实验时,与非门输入端A加一频率为

数字电路及设计实验

常用数字仪表的使用 实验内容: 1.参考“仪器操作指南”之“DS1000操作演示”,熟悉示数字波器的使用。 2.测试示波器校正信号如下参数:(请注意该信号测试时将耦合方式设置为直流耦合。 峰峰值(Vpp),最大值(Vmax),最小值(Vmin), 幅值(Vamp),周期(Prd),频率(Freq) 顶端值(Vtop),底端值(Vbase),过冲(Overshoot), 预冲(Preshoot),平均值(Average),均方根值(Vrms),即有效值 上升时间(RiseTime),下降时间(FallTime),正脉宽(+Width), 负脉宽(-Width),正占空比(+Duty),负占空比(-Duty)等参数。 3.TTL输出高电平>2.4V,输出低电平<0.4V。在室温下,一般输出高电平是3.5V,输出低 电平是0.2V。最小输入高电平和低电平:输入高电平>=2.0V,输入低电平<=0.8V。 请采用函数信号发生器输出一个TTL信号,要求满足如下条件: ①输出高电平为3.5V,低电平为0V的一个方波信号; ②信号频率1000Hz; 在示波器上观测该信号并记录波形数据。

集成逻辑门测试(含4个实验项目) (本实验内容选作) 一、实验目的 (1)深刻理解集成逻辑门主要参数的含义和功能。 (2)熟悉TTL 与非门和CMOS 或非门主要参数的测试方法,并通过功能测试判断器件好坏。 二、实验设备与器件 本实验设备与器件分别是: 实验设备:自制数字实验平台、双踪示波器、直流稳压电源、数字频率计、数字万用表及工具; 实验器件:74LS20两片,CC4001一片,500Ω左右电阻和10k Ω左右电阻各一只。 三、实验项目 1.TTL 与非门逻辑功能测试 按表1-1的要求测74LS20逻辑功能,将测试结果填入与非门功能测试表中(测试F=1、0时,V OH 与V OL 的值)。 2.TTL 与非门直流参数的测试 测试时取电源电压V CC =5V ;注意电流表档次,所选量程应大于器件电参数规范值。 (1)导通电源电流I CCL 。测试条件:输入端均悬空,输出端空载。测试电路按图1-1(a )连接。 (2)低电平输入电流I iL 。测试条件:被测输入端通过电流表接地,其余输入端悬空,输出空载。测试电路按图1-1(b )连接。 (3)高电平输入电流I iH 。测试条件:被测输入端通过电流表接电源(电压V CC ),其余输入端均接地,输出空载。测试电路按图1-1(c )连接。 (4)电压传输特性。测试电路按图1-2连接。按表1-2所列各输入电压值逐点进行测量,各输入电压值通过调节电位器W 取得。将测试结果在表1-2中记录,并根据实测数据,做出电压传输特性曲线。然后,从曲线上读出V OH ,V OL ,V on ,V off 和V T ,并计算V NH ,V NL 等参数。 表1-1 与非门功能测试表

8路数字抢答器课程设计报告

学号: 课程设计 题目八路数字抢答器 学院信息工程学院 专业通信工程 班级 姓名 指导教师 2010年 7月 9日

课程设计任务书 题目:八路数字抢答器 起始条件:要求对数字电路里555电路的运用有所了解,同时熟悉计数电路和译码电路的运用,还要能够运用Multisim软件进行电路的仿真。 要求完成的主要任务: 1.运用数字电路设计一个能够满足特定要求的八路抢答器 2.绘制电路原理图并进行仿真,要求在报告中画出正确的波形。3.按照所画的原理图,在仿真的基础上焊接实物并进行调试。 时间安排: 编号 任务时间 1 查资料、原理图设计3天 2 仿真电路并修改 3天 3 实物焊接与调试5天 4 报告撰写 2天 5 答辩1天 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 课程设计任务书 (2) 摘要 (4) Abstract (5) 一、实验目的 (6) 二、设计要求与内容 (6) 三、设计及原理 (7) 3.1 总体方案设计 (7) 3.1.1 设计思路 (7) 3.1.2 总电路框图 (7) 3.2 各模块设计方案及原理说明 (8) 3.2.1 抢答电路 (8) 3.2.2 倒计时电路 (12) 四、电路仿真 (13) 4.1 抢答电路 (13) 4.2 倒计时电路 (15) 五、实验结果及分析 (17) 六、收获、体会和建议 (19) 附录 (21) 1.总电路图 (21) 2. 元件引脚图 (22) 3.元器件清单 (24) 主要参考文献 (25)

摘要 抢答器作为一种工具,已经广泛应用于各种智力和知识竞赛场合。本设计以八路智力竞赛抢答器为基本概念,从实际应用出发,利用电子设计自动化( EDA)技术,用数字、模拟电子器件设计具有扩充功能的抢答器。该抢答器的设计利用Multisim11完成了原理图设计和电路仿真,具有数字显示、倒计时显示、编码译码功能,应用效果良好。 关键词: 电子设计自动化;数字电子技术;抢答器;仿真

数字系统设计实验三

实验四简易数字钟下载 1、实验目的 1)学习掌握数字系统综合设计方法。 2)学习掌握层次设计方法。 3)学习掌握设计下载方法。 4)学习掌握实验系统使用方法。 2、实验原理 数字钟是对输入时基秒脉冲进行计数,依次输出秒数值、分数值、小时数值,从而确定时钟时间,其原理框图如下图所示。 Image 简易数字钟原理图 简易数字钟原理图 实际的数字钟设计中还需要增加年月日的功能,这里框图中

也省略了校时功能的结构。 3、实验内容 1)选择XC2S200PQ208器件建立一个新的工程。 2)在上述工程中,采用VHDL语言的方法设计上述简易数字钟。 3)参考实验系统使用说明,按下列要求锁定引脚。秒、分钟、小时由实验系统的J1、J2输出,显示输出的时分秒间隔一位数码管。时钟输入由J7的1脚输入。 4)下载编程并验证设计结果。 4、实验设备 1)清华同方PⅣ 2.4G\256M60G 2)ISE 6.2i—Windows软件系统 3)多功能EDA实验系统(V型) 5、实验步骤 1)写出简易数字钟的设计程序。 2)画出简易数字钟的仿真波形。 3)将程序下载到芯片中。 a.首先点击菜单Assignments->Device,选择Device family->Cyclone IV E,然后选择芯片型号Available device->EP4CE6F17C8。 b.进行管脚锁定,选择菜单Assignments->Pin Planner,在Location列下为输入\输出变量选择对应的管脚进行锁定。 c.选择快捷菜单进行编译Start Compilation,生成下载文件。 d.点击Tools->Programmer e.点击Add Device,在Device->Cyclone IVE中,选择Device

数字电路与逻辑设计实验总结报告

第二次实验是Quartus11原理图输入法设计,由于是第一次使用Quartus11软 件,实验中遇到了不少问题,总结起来主要有以下几个: (1)在创建工程并且编译通过之后得不到仿真波形 解决方法:经过仔细检查,发现在创建符号文件时,未对其重新命名,使得符号文件名与顶层文件的实体名一样。在改变符号文件名之后成功的得到了仿真波形。 (2)得到的仿真波形过于紧密不便于观察 解决方法:重新对仿真域的时间进行设定,并且对输入信号的周期做相应的调整,最终得到了疏密有致的仿真波形。 实验总结及心得体会 通过本次实验我初步掌握了Quartus11的使用方法,并且熟悉了电路板的使用。在实验具体操作的过程中,对理论知识(半加器和全加器)也有了更近一步的理解,真正达到了理论指导实践,实践检验理论的目的。 实验操作中应特别注意的几点: (1)刚开始创建工程时选择的目标芯片一定要与实验板上的芯片相对应。 (2)连接电路时要注意保证线与端口连接好,并且注意不要画到器件图形符号的虚线框里面。 (3)顶层文件的实体名只能有一个,而且注意符号文件不能与顶层文件的实体名相同。 (4)保存波形文件时,注意文件名必须与工程名一致,因为在多次为一个工程建立波形文件时,一定要注意保存时文件名要与工程名一致,否则不能得到正确的仿真结果。 (5)仿真时间区域的设定与输入波形周期的设定一定要协调,否则得到波形可能不便于观察或发生错误。 心得体会:刚接触使用一个新的软件,实验前一定要做好预习工作,在具体的实验操作过程中一定要细心,比如在引脚设定时一定要做到“对号入座”,曾经自己由于这一点没做好耗费了很多时间。实验中遇到的各种大小问题基本都是自己独立排查解决的,这对于自己独立解决问题的能力也是一个极大地提高和锻炼,总之这次实验我获益匪浅。 第三次实验是用VHDL语言设计组合逻辑电路和时序逻辑电路,由于Quartus11软件在之前已经使用过,所以本实验的主要任务就是编写与实验要求相对应的VHDL程序。 总体来说此次实验比较顺利,基本没有遇到什么问题,但有几点需要特别注意。首先是要区分实体名称和结构体名,这一点是程序编写的关键。其次在时序逻辑电路的设计实验中时钟的设置很关键,设置不当的话仿真波形可能不正确。 通过本次实验我初步学会用VHDL语言编写一些简单的程序,同时也进一步熟悉了Quartus11软件的使用。 实验八彩灯控制电路设计与实现 一、实验目的 1、进一步了解时序电路设计方法

六路数字抢答器的设计.222

太原理工大学 课程设计 题 目名称数字竞赛抢答器 课 程 名 称数字电子技术课程设计学生姓名张超张兵锋 学号 2010002553 2010002552 系 、专 业矿业工程采矿工程专业指导教师黄丽霞 2012年 1 2月 28 日

1.设计背景 在许多比赛活动中,为了准确、公正、直观地判断出第一抢答者,通常设置一台抢答器,通过数显、灯光及音响等多种手段指示出第一抢答者。同时还可以设置记分、犯 规及奖励记录等多种功能。该设计就是针对上述各种要求设计出的供6名选手参赛使用的数字式竞赛抢答器。数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器 、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器 上输出实现计时功能,构成扩展电路。经过布线、焊接、调试等工作后,数字抢答器成形。 2.设计任务与要求 1.设计制作一个可容纳六组参赛的数字式抢答器,每组设计一个抢答按钮供抢答者使用。 2.电路具有第一抢答信号的鉴别和锁存功能。在主持人将系统复位并发出抢答指 令后,开始抢答,定时器开始工作,数码管显示时间,从30s开始减计数,并有小红灯亮起(说明可以答题,抢答有效)。30s 内无人抢答则计数停,无人抢答显示0.若30s内参赛者按抢答开关,则该组指示灯亮并用组别显示电路显示出抢答者的组别, 同时扬声器发出“嘀-嘟”的双音音响持续2~3秒。此时,电路应具备自锁功能,使其他组的抢答开关不起作用。 3.设置记分电路。每组在开始时预置成 100 分,抢答后由主持人记分,答对一次 加10分,否则减10分。 4.设置犯规电路。对提前抢答和超时抢答的组别鸣喇叭示警,并由组别电路显示 出犯规组别。 3 .总体设计方案

数字电路模拟设计实验报告

数字电子设计 客房呼叫器 学院:通化师范学院 专业班级:物理系11级二班 小组成员:黄琳杰呼永建王继洋马一剑周建伟刘启宇郑伟清李楠楠 指导老师:李东康 时间:2013年12月3日

前言 物理实验是人们根据科学研究的目的,利用仪器设备人为地控制模拟自然现象,排除干扰突出主要因素,在有利条件下观察研究自然规律的活动。 因此,物理实验可以简化纯化研究对象和过程,可以强化研究条件,可以控制或再现和重复物理过程。物理实验有多种类型在本次试验设计中,我们采取模拟试验的方式来用数字电路设计餐厅客房服务器。 设计目的与要求 1.选用十个开关模拟某餐厅的十个包房,应用数字电路设计一个客房呼叫器。 2.用数码管显示呼叫服务的客房编号。 发挥部分:试想一下,若存在十个以上包房应如何设计。

总体框图 设计想法 1. 整体设计思路:根据对设计要求的分析,可以将整个呼叫器的逻辑电路划分为编码器,代码转换电路和数码显示电路三个部分。 2. 整体设计流程: a 编码器将客房给出的开关输入编成对应的二进制代码 b 代码转换电路将编码器输出的编码转换为七段显示译码器在显示时所要求的输入代码。 c 用一个数码管显示呼叫信号的号码。

选择器件 74ls147优先编码器管脚图和功能真值表 优先编码器是当多个输入端同时有信号时,电路只对其中优先级别最高的输入信号进行编码,常用的集成优先编码器IC有10线-4线,8线-3线两种。10线-4线优先编码器常见的型号为54/74LS147,54/74147, 3线-8线优先编码器常见的型号为54/74148,54/74LS148. 下面我们以74ls147为例介绍优先编码器功能如图一所示 第九个脚NC为空74ls147优先编码器有九个输入端和四个输出端,某个输入端为0,代表输入某个十进制数当九个输入端全为1时,代表输入的十进制数为0. 74ls147优先编码器的输入端和输出端都是低电平有效即某一个输入端低电平0时,四个输出端就以低电平0的输出其对应的8421 BCD编码,当九个输入全为1时,4个输出也全为1呆比啊输入十进制数0的8421 BCD编码输出。

北邮-数字电路与逻辑设计实验-实验报告(上)

北京邮电大学电路实验中心<数字电路与逻辑设计实验(上)> 实 验 报 告 班级: xxxx 学院: xxx 实验室: xxx 审阅教师:姓名(班内序号): xxx 学号: xxx 实验时间: xxx 评定成绩:

目录 实验1 Quartus II 原理图输入法设计与实现 (3) 一、实验目的 (3) 二、实验所用器材 (3) 三、实验任务要求 (3) 四、实验原理图 (3) 五、实验仿真波形图及分析 (4) 实验2 用VHDL 设计与实现组合逻辑电路 (5) 一、实验目的 (5) 二、实验所用器材 (5) 三、实验任务要求 (5) 四、VHDL代码 (5) 五、实验仿真波形图及分析 (7) 实验3 用VHDL 设计与实现时序逻辑电路 (8) 一、实验目的 (8) 二、实验所用器材 (8) 三、实验任务要求 (8) 四、模块端口说明及连接图 (8) 五、VHDL代码 (9) 六、实验仿真波形图及分析 (10) 实验4 用VHDL 设计与实现数码管动态扫描控制器 (10) 一、实验目的 (10) 二、实验所用器材 (11) 三、实验任务要求 (11) 四、模块端口说明及连接图 (11) 五、VHDL代码 (11) 六、实验仿真波形图及分析 (15) 故障及问题分析 (16) 总结和结论 (17)

实验1 Quartus II 原理图输入法设计与实现 一、实验目的 (1)熟悉用Quartus II原理图输入法进行电路设计和仿真; (2)掌握Quartus II 图形模块单元的生成与调用; (3)熟悉实验板的使用。 二、实验所用器材 (1)计算机; (2)直流稳压电源; (3)数字系统与逻辑设计实验开发板。 三、实验任务要求 (1)用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半加器图形模块单元。 (2)用(1)中生成的半加器模块和逻辑门设计实现一个全加器,仿真验证其功能,并下载到实验板测试,要求用拨码开关设定输入信号,发光二极管显示输出信号。 (3)用3线-8线译码器(74LS138)和逻辑门设计实现函数+CBA,仿真验证其功能,并下载到实验板测试。要求用拨码开关设定输入信号,发光二极管显示输出信号。 四、实验原理图 (1)半加器原理图 (2)全加器原理图

相关主题
文本预览
相关文档 最新文档