当前位置:文档之家› EDA技术及应用实验报告(完整版纯手打)

EDA技术及应用实验报告(完整版纯手打)

EDA技术及应用实验报告(完整版纯手打)
EDA技术及应用实验报告(完整版纯手打)

《EDA技术及应用》

实验报告

系部:

指导教师:

学号:

姓名:

实验一 点亮LED 设计

一、实验目的

通过此实验让用户逐步了解、熟悉和掌握FPGA 开发软件QuartusII 的使用方法及Verilog HDL 的编程方法。

本实验力求以详细的步骤和讲解让读者以最快的方式了解EDA 技术开发以及软件的使用,从而快速入门并激起读者对EDA 技术的兴趣。

二、实验内容

SmartSOPC 实验箱上有8个发光二极管LED1~8,并分别与FPGA 的50、53~55、176和47~49引脚相连。本实验的内容是建立可用于控制LED 亮/灭的简单硬件电路,要求点亮SmartSOPC 实验箱上的4个发光二极管(LED1、LED3、LED5和LED7)。

三、实验原理

FPGA 器件同单片机一样,为用户提供了许多灵活独立的输入/输出I/O 口(单元)。FPGA 每个I/O 口可以配置为输入、输出、双向I/O 、集电极开路和三态门等各种组态。作为输出口时,FPGA 的I/O 口可以吸收最大为24mA 的电流,可以直接驱动发光二极管LED 等器件。所以只要正确分配并锁定引脚后,在相应的引脚上输出低电平“0”,就可以实现点亮该发光二级管的功能。

四、实验步骤

1、启动Quarters II 建立一个空白工程,命名为led_test.qpf 。然后分别建立图形设计文件,命名为led_test.bdf ,以及文本编辑文件led1.v ,将他们都添加进工程中。

2、对工程进行设计。在led1.v 中输入程序代码,并保存,进行综合编译,若在编译中发现错误,则找出并更正错误,直至编译成功为止。从设计文件中创建模块,由led1.v 生成名为led1.bsf 的模块符号文件。在led_test.bdf 中任意空白处双击鼠标左键,将symbol 对话框中libraries :project 下的led1模块添加到图形文件led_test.bdf 中,加入输入、输出引脚,双击各管脚符号,进行管脚命名。完整的顶层模块原理图如下图所示。选择目标器件并进行引脚锁定。将未使用的管脚设置为三态输入。

led[7..0]

OUTPUT

led[7..0]

led1

inst

3、设置编译选项并编译硬件系统。将led_test.bdf 设置为顶层实体。对该工程文件进行全程编译处理。若在编译过程中发现错误,则找出并更正错误,直到编译成功为止。

4、下载硬件设计到目标FPGA 。将产生的led_test.sof 输出对FPGA 进行配置。

5、观察LED 的状态。

五、实验程序

//利用连续赋值assign 语句实现

module led1(led); //模块名 led1 output[7:0] led; //定义输出端口、 assign led =8'b10101010; //输出0xAA endmodule

//利用过程赋值语句实现(暂时已屏蔽)

/*

module led1(led); //模块名led1

output[7:0] led; //定义输出端口

reg[7:0] led; //定义寄存器

always //过程1,无敏感变量

begin

led = 8'b10101010; //输出0xAA

end

endmudule*/

六、思考题

写出使用QuartusII软件开发工程的完整的流程。

实验二流水灯实验

一、实验目的

通过此实验让用户进一步了解、熟悉和掌握CPLD/FPGA 开发软件的使用方法及Verilog HDL 的编程方法。学习简单时序电路的设计和硬件测试。

二、实验内容

本实验的内容是建立可用于控制LED 流水灯的简单硬件电路,要求在SmartSOPC 实验箱上实现LED1~LED8发光二极管流水灯显示。

三、实验原理

1、在LED1~LED8引脚上周期性的输出流水数据,如原来输出的数据是11111100则表示点亮LED1、LED2,流水一次后,输出的数据应该为11111000,而此时则应点亮LED1~LED3三个LED 发光二极管,就可以实现LED 流水灯。为了观察方便,流水速率最好在2Hz 左右。在QuickSOPC 核心板上有一个48MHz 的标准时钟源,该时钟脉冲Clock 与芯片的28管脚相连。为了产生2Hz 的时钟脉冲,在此调用了一个分频模块(int_div 模块,位于光盘中EDA_Component 目录下),通过修改分频系数来改变输出频,当分频系数为24x10^6时,输出即为2Hz 的频率信号。

2、Int_div 分频模块说明:int_div 模块是一个占空比为50%的任意整数分频器。输入时钟为clock ,输出时钟为clk_out 。其中F_DIV 为分频系数,分频系数范围为1~2^n (n=F_DIV_WIDTH),若要改变分频系数,改变参数F_DIV 和F_DIV_WIDTH 到相应范围即可。在本例中输入时钟为48MHz ,要得到2Hz 的信号,所以分频系数为48x10^6/2=24x10^6;对于分频系数为24x10^6的数需要一个25位宽的计数器即可。

四、实验步骤

1、启动Quarters II 建立一个空白工程,命名为led_water.qpf 。

2、新建Verilog HDL 源程序文件ledwater.v ,输入程序代码并保存,进行综合编译,若在编译中发现错误,则找出并更正错误,直至编译成功为止。

3、从设计文件中创建模块,由ledwater.v 生成名为ledwater.bsf 的模块符号文件。

4、将光盘中EDA_Component 目录下的int_div.bsf 和int_div.v 拷贝到工程目录。

5、新建图形设计文件命名为led_water.bdf 并保存。在空白处双击鼠标左键,分别将symbol 对话框中libraries :project 下的ledwater 和int_div 模块放在图形文件led_water.bdf 中,加入输入、输出引脚,双击各管脚符号,进行管脚命名。双击int_div 的参数框,并修改参数,将F_DIV 的值改为24000000,F_DIV_WIDTH 的值改为25. 完整的顶层模块原理图如下图所示。

VCC

clock

INPUT led[7..0]

OUTPUT

clk led[7..0]

ledwater

inst1

F_DIV 24000000F_DIV_WIDTH 25

Parameter Value clock

clk_out

int_div

inst

6、选择目标器件并进行引脚锁定。将未使用的管脚设置为三态输入。

7、将led_water.bdf设置为顶层实体。对该工程文件进行全程编译处理。若在编译过程中发现错误,则找出并更正错误,直到编译成功为止。

8、将产生的led_water.sof输出对FPGA进行配置。

9、更改分频模块(int_div)的分频系数,并重新编译下载,观察流水灯的变化。

五、实验程序

module ledwater (led,clk); //模块名ledwater

output[7:0] led; //定义LED输出口

input clk; //定义时钟输入口

reg[8:0] led_r; //定义输出寄存器

assign led = led_r[7:0]; //寄存器输出

always @(posedge clk) //在时钟上升沿触发进程

begin

led_r <= led_r << 1; //是,则输出左移一位

if(led_r == 9'd0) //循环完毕吗?

led_r <= 9'b111111111; //是,则重新赋初值

end

endmodule

六、思考题

本实验顶层采用了图形输入的方式,若采用文本输入方式,如何编写顶层文件?写出相应程序。

实验三键盘、LED发光实验

一、实验目的

通过此实验让用户进一步了解、熟悉和掌握CPLD/FPGA开发软件的使用方法及Verilog HDL的编程方法,熟悉以Verilog HDL文件为顶层模块的设计。学习和体会分支条件语句case的使用方法及FPGA I/O口的输出控制。

二、实验内容

SmartSOPC实验箱上有8个发光二极管LED1~8和8个按键KEY1~KEY8。本实验的内容要求在SmartSOPC实验箱上完成对8个按键KEY1~KEY8进行监控,一旦有按键输入判断其键值,并点亮相应的发光二极管,如若KEY3按下,则点亮LED1~LED3发光二极管。

三、实验原理

FPGA的所有I/O控制块允许每个I/O口引脚单独配置为输出口,不过这种配置是系统自动完成的,一旦该I/O口被设置为输入口使用时(如定义key0为输入引脚:input key0;)该I/O控制模块将直接使三态缓冲区的控制端接地,使得该I/O 口引脚对外呈高阻态,这样该I/O口引脚即可用作专用输入引脚。只要正确分配并锁定引脚后,一旦在KEY1~KEY8中有按键输入,在检测到键盘输入的情况下,继续判断其键值并做出相应的处理。

四、实验步骤

1、启动Quarters II建立一个空白工程,命名为keyled.qpf。

2、新建Verilog HDL源程序文件keyled.v,输入程序代码并保存,进行综合编译,若在编译中发现错误,则找出并更正错误,直至编译成功为止。

3、选择目标器件并进行引脚锁定。将未使用的管脚设置为三态输入。

4、对该工程文件进行全程编译处理。若在编译过程中发现错误,则找出并更正错误,直到编译成功为止。

5、将产生的keyled.sof输出对FPGA进行配置。按下不同按键观察LED发光管的状态。

五、实验程序

module keyled(key,led); //模块名keyled

input[7:0] key; //定义键盘输入口

output[7:0] led; //定义发光管输出口

reg[7:0] led_r; //定义寄存器

reg[7:0] buffer_r;

assign led = led_r; //输出键值

always@(key) //过程1

begin

buffer_r = key; //读取键值

case(buffer_r)

8'b11111110:led_r = 8'b11111110; //是键KEY1,则给寄存器赋值0xfe

8'b11111101:led_r = 8'b11111100; //是键KEY2,则给寄存器赋值0xfc

8'b11111011:led_r = 8'b11111000; //是键KEY3,则给寄存器赋值0xf8

8'b11110111:led_r = 8'b11110000; //是键KEY4,则给寄存器赋值0xf0

8'b11101111:led_r = 8'b11100000; //是键KEY5,则给寄存器赋值0xe0

8'b11011111:led_r = 8'b11000000; //是键KEY6,则给寄存器赋值0xc0

8'b10111111:led_r = 8'b10000000; //是键KEY7,则给寄存器赋值0x80

8'b01111111:led_r = 8'b00000000; //是键KEY8,则给寄存器赋值0x00

default: led_r = 8'b11111111; //否则给寄存器赋值0xff endcase

end

endmodule

六、思考题

能否用if语句改写本实验程序?如果能,写出相应程序。

实验四 静态数码管显示实验

一、实验目的

学习7段数码管显示译码器的设计,进一步了解、熟悉和掌握FPGA 开发软件Quartus II 的使用方法及Verilog HDL 的编程方法,学习LPM 兆功能模块的调用。

二、实验内容

SmartSOPC 实验箱上有2个4位动态共阳极数码管LED12和LED13。其中8个位码DIG0~DIG7和8位段码SEG0~SEG7分别与FPGA 相应的引脚相连。这样,只要DIG0~DIG7上一直输出低电平“0”,则8个数码管将显示相同的数码(因为8个LED 数码管的段码线分别接到了同一引脚上),这样8位动态的LED 数码管就变成了静态的LED 。

本实验的内容是建立7段译码显示模块,用于控制LED 数码管的静态显示。要求在SmartSOPC 实验箱上的数码管依次显示0~9和A~F16个字符。

三、实验原理

数码管LED 显示是工程项目中使用较广的一种输出显示器件。常见的数码管有共阴和共阳2种。共阴数码管是将8个发光二极管的阴极连接在一起作为公共端,而共阳数码管是将8个发光二极管的阳极连接在一起作为公共端。公共端常被称作位码,而将其他的8位称作段码。数码管有8个段分别为:h 、g 、f 、e 、d 、c 、b 和a (h 为小数点),只要公共端为高电平“1”,某个段输出低电平“0”则相应的段就亮。

本实验通过分频模块int_div 分频得到1Hz 的频率信号,加载于4位计数器的时钟输入端,计数循环输出0~9、A~F16个数。最后通过七段译码模块译码后在数码管上显示出来。

四、实验步骤

1、启动Quarters II 建立一个空白工程,命名为sled.qpf 。

2、新建Verilog HDL 源程序文件decl7s.v ,输入程序代码并保存,进行综合编译,若在编译中发现错误,则找出并更正错误,直至编译成功为止。

3、从设计文件中创建模块,由decl7s.v 生成名为decl7s.bsf 的模块符号文件。

4、将光盘中EDA_Component 目录下的int_div.bsf 和int_div.v 拷贝到工程目录。

5、添加4位计数器兆功能模块。

6、新建图形设计文件命名为sled.bdf 并保存。在空白处双击鼠标左键,分别将symbol 对话框中libraries :project 下的counter 、decl7s 和int_div 模块放在图形文件sled.bdf 中,在symbol 对话框中Name :输入gnd ,添加gnd 符号。加入输入、输出引脚,双击各管脚符号,进行管脚命名。双击int_div 的参数框,并修改参数,将F_DIV 的值改为48000000,F_DIV_WIDTH 的值改为26。完整的顶层模块原理图如下图所示。

VCC

clock

INPUT seg[7..0]

OUTPUT

dig[7..0]

OUTPUT

up counter

clock

q[3..0]

counter

inst

d[3..0]

seg[7..0]

decl7s

inst1

GND

F_DIV 48000000F_DIV_WIDTH 26

Parameter Value clock clk_out

int_div

inst2

数码管位码输出

数码管段码输出

7、选择目标器件并进行引脚锁定。将未使用的管脚设置为三态输入。

8、将sled.bdf设置为顶层实体。对该工程文件进行全程编译处理。若在编译过程中发现错误,则找出并更正错误,直到编译成功为止。

9、将产生的sled.sof输出对FPGA进行配置。观察LED显示状态。

五、实验程序

module decl7s(d,seg); //模块名decl7s

input[3:0] d; //输入4位二进制码

output[7:0] seg; //七段译码输出

reg[7:0] seg_r; //定义数码管输出寄存器

assign seg = seg_r; //输出数码管译码结果

always @(d)

begin

case(d) //七段译码

4'h0:seg_r = 8'hc0; //显示0

4'h1:seg_r = 8'hf9; //显示1

4'h2:seg_r = 8'ha4; //显示2

4'h3:seg_r = 8'hb0; //显示3

4'h4:seg_r = 8'h99; //显示4

4'h5:seg_r = 8'h92; //显示5

4'h6:seg_r = 8'h82; //显示6

4'h7:seg_r = 8'hf8; //显示7

4'h8:seg_r = 8'h80; //显示8

4'h9:seg_r = 8'h90; //显示9

4'ha:seg_r = 8'h88; //显示a

4'hb:seg_r = 8'h83; //显示b

4'hc:seg_r = 8'hc6; //显示c

4'hd:seg_r = 8'ha1; //显示d

4'he:seg_r = 8'h86; //显示e

4'hf:seg_r = 8'h8e; //显示f

endcase

end

endmodule

六、思考题

1.本实验采用的是共阳极数码管,若采用共阴极数码管,有什么不同?

2.如何显示HHHHHHHH和PPPPPPPP?

实验五 动态数码管显示实验

一、实验目的

学习动态扫描显示的原理及电路的设计。

二、实验内容

本实验的内容是建立数码管动态扫描显示模块,具体内容如下: 1、在SmartSOPC 实验箱上完成LED 数码管的动态显示“1~8”8个数字; 2、放慢扫描速度演示动态显示的原理过程。

三、实验原理

一个FPGA 的片子如果带动多个(比如说8个)数码管,选用静态显示的话,管脚很容易不够用,于是大多采用动态显示的方式。本次采用共阳极的数码管,其中每个数码管的8个段:h 、g 、f 、e 、d 、c 、b 和a (h 为小数点)都分别连接到SEG0~SEG7,8个数码管分别由8个选通信号DIG0~DIG7来选择。被选通的数码管显示数据,其余关闭。如果在某一时刻DIG2为低电平“0”,其余选通信号为高电平“1”,这时仅DIG2对应的数码管显示来自段码信号端的数据,其余7个数码管呈现关闭状态。根据这种电路状态,如果希望8个数码管显示希望的数据,就必须使得8个选通信号DIG0~DIG7分别被单独选通,并在此同时,在段信号输入口加上希望在该对应数码管上显示的数据,于是随着选通信号的扫描就能实现扫描显示的目的。虽然每次只有一个LED 显示,但只要扫描显示速率足够快,由于人的视觉余辉效应,使我们仍会感觉所有的数码管都在同时显示。

四、实验步骤

1、启动Quarters II 建立一个空白工程,命名为dled.qpf 。

2、新建Verilog HDL 源程序文件scan_led.v ,输入程序代码并保存,进行综合编译,若在编译中发现错误,则找出并更正错误,直至编译成功为止。

3、从设计文件中创建模块,由scan_led.v 生成名为scan_led.bsf 的模块符号文件。

4、将光盘中EDA_Component 目录下的int_div.bsf 和int_div.v 拷贝到工程目录。

5、添加常量兆功能模块。

6、新建图形设计文件命名为dled.bdf 并保存。在空白处双击鼠标左键,分别将symbol 对话框中libraries :project 下的constant 、scan_led 和int_div 模块放在图形文件dled.bdf 中,加入输入、输出引脚,双击各管脚符号,进行管脚命名。双击int_div 的参数框,并修改参数,将F_DIV 的值改为48000,F_DIV_WIDTH 的值改为16。完整的顶层模块原理图如下图所示。

VCC

clock

INPUT dig[7..0]OUTPUT seg[7..0]

OUTPUT

30541989632

constant

inst1

clk_1k

d[31..0]

dig[7..0]seg[7..0]

scan_led

inst2

F_DIV 48000F_DIV_WIDTH 16

Parameter Value clock clk_out

int_div

inst

7、选择目标器件并进行引脚锁定。将未使用的管脚设置为三态输入。

8、将dled.bdf 设置为顶层实体。对该工程文件进行全程编译处理。若在编译过程

中发现错误,则找出并更正错误,直到编译成功为止。

9、将产生的sled.sof输出对FPGA进行配置。观察LED数码管上的数字是否为“12345678”,然后把分频模块的参数改为F_DIV:24000000,F_DIV_WIDTH:25,再重新编译下载,观察这次LED数码管上的显示数据,可以看到数据“12345678”动起来了,这正是动态扫描的方法和过程。

五、实验程序

module scan_led(clk_1k,d,dig,seg); //模块名scan_led

input clk_1k; //输入时钟

input[31:0] d; //输入要显示的数据

output[7:0] dig; //数码管选择输出引脚

output[7:0] seg; //数码管段输出引脚

reg[7:0] seg_r; //定义数码管输出寄存器

reg[7:0] dig_r; //定义数码管选择输出寄存器

reg[3:0] disp_dat; //定义显示数据寄存器

reg[2:0]count; //定义计数寄存器

assign dig = dig_r; //输出数码管选择

assign seg = seg_r; //输出数码管译码结果

always @(posedge clk_1k) //定义上升沿触发进程

begin

count <= count + 1'b1;

end

always @(posedge clk_1k)

begin

case(count) //选择扫描显示数据

3'd0:disp_dat = d[31:28]; //第一个数码管

3'd1:disp_dat = d[27:24]; //第二个数码管

3'd2:disp_dat = d[23:20]; //第三个数码管

3'd3:disp_dat = d[19:16]; //第四个数码管

3'd4:disp_dat = d[15:12]; //第五个数码管

3'd5:disp_dat = d[11:8]; //第六个数码管

3'd6:disp_dat = d[7:4]; //第七个数码管

3'd7:disp_dat = d[3:0]; //第八个数码管

endcase

case(count) //选择数码管显示位

3'd0:dig_r = 8'b01111111; //选择第一个数码管显示

3'd1:dig_r = 8'b10111111; //选择第二个数码管显示

3'd2:dig_r = 8'b11011111; //选择第三个数码管显示

3'd3:dig_r = 8'b11101111; //选择第四个数码管显示

3'd4:dig_r = 8'b11110111; //选择第五个数码管显示

3'd5:dig_r = 8'b11111011; //选择第六个数码管显示

3'd6:dig_r = 8'b11111101; //选择第七个数码管显示

3'd7:dig_r = 8'b11111110; //选择第八个数码管显示

endcase

end

always @(disp_dat)

begin

case(disp_dat) //七段译码4'h0:seg_r = 8'hc0; //显示0

4'h1:seg_r = 8'hf9; //显示1

4'h2:seg_r = 8'ha4; //显示2

4'h3:seg_r = 8'hb0; //显示3

4'h4:seg_r = 8'h99; //显示4

4'h5:seg_r = 8'h92; //显示5

4'h6:seg_r = 8'h82; //显示6

4'h7:seg_r = 8'hf8; //显示7

4'h8:seg_r = 8'h80; //显示8

4'h9:seg_r = 8'h90; //显示9

4'ha:seg_r = 8'h88; //显示a

4'hb:seg_r = 8'h83; //显示b

4'hc:seg_r = 8'hc6; //显示c

4'hd:seg_r = 8'ha1; //显示d

4'he:seg_r = 8'h86; //显示e

4'hf:seg_r = 8'h8e; //显示f endcase

end

endmodule

六、思考题

说出数码管动态显示的原理。

实验六 按键去抖动实验

一、实验目的

学习按键去抖动电路的硬件设计。

二、实验内容

本实验的内容是建立按键消抖模块。通过SmartSOPC 实验箱上的按键KEY1(经过消抖)或KEY2(没有消抖)控制数码管显示数字。对比有加消抖和没有加消抖模块电路的区别。

三、实验原理

作为机械开关的键盘,在按键操作时,由于机械触点的弹性及电压突跳等原因,在触点闭合或开启的瞬间会出现电压抖动,实际应用中如果不进行处理将会造成误触发。

由于这里是低电平表示按键按下,所以按键去抖动的关键在于提取稳定的低电平状态,虑除前沿、后沿抖动毛刺。对于一个按键信号,可以用一个脉冲对他进行取样,如果连续三次取样为低电平,可以认为信号已经处于键稳定状态,这时输出一个低电平按键信号。继续取样的过程中如果不能满足连续三次取样为低,则认为键稳定状态结束,这时输出变为高电平。一通道的消抖电路原理图如下:

CLRN D

PRN

Q DFF

inst CLRN D

PRN

Q DFF

inst1CLRN D

PRN

Q

DFF

inst2

VCC

key _in

INPUT VCC

clock

INPUT key _out

OUTPUT

OR3

inst3

四、实验步骤

1、启动Quarters II 建立一个空白工程,命名为key_debounce.qpf 。

2、将上图所示消抖电路用Verilog HDL 语言描述出来,并拓展多个通道。新建Verilog HDL 源程序文件debounce.v ,输入程序代码并保存,进行综合编译,若在编译中发现错误,则找出并更正错误,直至编译成功为止。

3、从设计文件中创建模块,由debounce.v 生成名为debounce.bsf 的模块符号文件。

4、将光盘中EDA_Component 目录下的int_div.bsf 和int_div.v 拷贝到工程目录。

5、添加4位计数器兆功能模块。

6、新建图形设计文件命名为key_debounce.bdf 并保存。在空白处双击鼠标左键,分别将symbol 对话框中libraries :project 下的counter 、debounce 、decl7s 和int_div 模块放在图形文件debounce.bsf 中,在symbol 对话框中Name :输入gnd ,添加gnd 符号,输入and2,添加与门。加入输入、输出引脚,双击各管脚符号,进行管脚命名。双击int_div 的参数框,并修改参数,将F_DIV 的值改为240000,F_DIV_WIDTH 的值改为18。完整的顶层模块原理图如下图所示。

VCC

clock

INPUT VCC

key 1

INPUT VCC

key 2

INPUT seg[7..0]

OUTPUT

dig[7..0]

OUTPUT

F_DIV 240000F_DIV_WIDTH 18

Parameter Value clock

clk_out

int_div

inst

KE Y_WIDTH 1

Parameter Value clk

key _in[KEY_WIDTH-1..0]

key _out[KEY_WIDTH-1..0]

debounce

inst1

AND2

inst2

up counter

clock

q[3..0]

counter

inst3

d[3..0]

seg[7..0]

decl7s

inst4

GND

数码管位码输出

数码管段码输出

i 消抖后的信号输出

7、选择目标器件并进行引脚锁定。将未使用的管脚设置为三态输入。

8、将key_debounce.bdf 设置为顶层实体。对该工程文件进行全程编译处理。若在编译过程中发现错误,则找出并更正错误,直到编译成功为止。 9、将产生的key_debounce.sof 输出对FPGA 进行配置。分别连续按KEY1或KEY2观察数码管显示状态,看数值是否连续递增,比较前后两次操作有何不同。

五、实验程序

module debounce(clk,key_in,key_out); //按键消抖模块 input clk; //系统时钟输入 input[KEY_WIDTH-1:0] key_in; //外部按键输入 output[KEY_WIDTH-1:0]key_out; //按键消抖输出 reg[KEY_WIDTH-1:0]dout1,dout2,dout3; //寄存器 parameter KEY_WIDTH = 8; //参数

assign key_out = (dout1 | dout2 | dout3); //按键消抖输出

always @(posedge clk) begin dout1 <= key_in; dout2 <= dout1; dout3 <= dout2; end

endmodule

六、思考题

比较下面两段程序的异同,并画出电路结构图。

always@ ( posedge clk)

begin

dout1<=key_in; //非阻塞赋值

dout2<=dout1;

dout3<=dout2;

end always@ ( posedge clk)

begin

dout1<=key_in; //阻塞赋值

dout2<=dout1;

dout3<=dout2;

end

实验七硬件电子琴实验

一、实验目的

学习利用蜂鸣器和按键设计硬件电子琴。

二、实验内容

在SmartSOPC实验箱上实现一个简易电子琴。按下KEY1~KEY7分别表示中音DO、RE、MI、FA、SOL、LA、SI;按住KEY8再按KEY1~KEY7分别表示高音的DO、RE、MI、FA、SOL、LA、SI。

三、实验原理

乐曲演奏的原理是:由于组成乐曲的每个音符的频率值(音调)及其持续时间(音长)是乐曲演奏的2个基本数据,因此需要控制输出到扬声器的激励信号的频率高低和该频率信号持续的时间。频率的高低决定了音调的高低,而乐曲的简谱与各音名的频率之间也有固定的对应关系。所有不同频率的信号都是从同一基准频率分频而得来的,由于音阶频率多为非整数,而分频系数又不能为小数,故必须将计算的到的分频数进行四舍五入取整,基准频率和分频系数应综合考虑加以选择,从而保证音乐不会走调。如在48MHz时钟下,中音1(对应的频率值为523.3Hz)的分频系数应该为:48000000/(2*523.3)=0xb327,这样只需对系统时钟进行45863次分频即可得到所要的中音1。至于其他音符,同样可求出对应的分频系数,这样利用程序可以很轻松地得到对应的乐声。

四、实验步骤

1、在Quarters II中建立一个工程项目文件beep1.qpf。并在该项目下新建Verilog HDL源程序文件beep1.v,输入程序代码并保存,进行综合编译,若在编译中发现错误,则找出并更正错误,直至编译成功为止。

2、选择目标器件并进行引脚锁定。将未使用的管脚设置为三态输入。

3、对该工程文件进行全程编译处理。若在编译过程中发现错误,则找出并更正错误,直到编译成功为止。

4、将产生的beep1.sof输出对FPGA进行配置。按下按键KEY1~KEY8就可以开始使用电子琴来演奏音乐了。

五、实验程序

module beep1(clk,key,beep,led); //模块名称beep1

input clk; //系统时钟48MHz

input[7:0]key; //按键输入

output beep; //蜂鸣器输出端

output[7:0]led; //LED输出

reg beep_r; //寄存器

reg[15:0]count,count_end;

reg[7:0]key_r;

always@(posedge clk)

begin

count <= count + 1'b1; //计数器加1

if((count == count_end)&(!(count_end == 16'hffff)))

begin

count <= 16'h0; //计数器清零

beep_r <= !beep_r; //取反输出信号

end

end

always @(key)

begin

key_r = key; //取键值

case(key_r)

8'b11111110:count_end = 16'hb327; //中音1的分频系数值

8'b11111101:count_end = 16'h9fa0; //中音2的分频系数值

8'b11111011:count_end = 16'h8e32; //中音3的分频系数值

8'b11110111:count_end = 16'h8637; //中音4的分频系数值

8'b11101111:count_end = 16'h7794; //中音5的分频系数值

8'b11011111:count_end = 16'h6a88; //中音6的分频系数值

8'b10111111:count_end = 16'h5ee8; //中音7的分频系数值

8'b01111110:count_end = 16'h59ab; //高音1的分频系数值

8'b01111101:count_end = 16'h4fce; //高音2的分频系数值

8'b01111011:count_end = 16'h471a; //高音3的分频系数值

8'b01110111:count_end = 16'h431c; //高音4的分频系数值

8'b01101111:count_end = 16'h3bca; //高音5的分频系数值

8'b01011111:count_end = 16'h3544; //高音6的分频系数值

8'b00111111:count_end = 16'h2f74; //高音7的分频系数值

default:count_end = 16'hffff;

endcase

end

assign beep =beep_r; //输出音乐

assign led =key_r; //输出按键状态endmodule

六、思考题

举例说明产生不同音符的原理。

实验八数字时钟设计

一、实验目的

学习数字时钟的硬件设计。

二、实验内容

在SmartSOPC实验箱上完成一个可以计时的数字时钟,其显示时间范围是00:00:00~23:59:59,,且该时钟具有暂停计时和清零等功能。

三、实验原理

一个完整的时钟应由3部分组成:秒脉冲发生电路、计数显示部分和时钟调整部分。一个时钟的准确与否主要取决于秒脉冲的精确度。为了保证时钟计时准确我们对系统时钟48MHz进行了48000000分频,从而得到1Hz的秒脉冲。至于显示部分与LED数码管原理相同,而校时电路用户可以自由发挥,如定义3个按键keystart、keymon和keyadd,分别用于控制时钟的计时开始、调整功能选择和加1处理,从而完成对现在时间的调整。本实验的校时电路在此仅仅完成了暂停、清零等基本功能。

四、实验步骤

1、在Quarters II中建立一个工程项目文件clock.qpf。并在该项目下新建Verilog HDL源程序文件clock.v,输入程序代码并保存,进行综合编译,若在编译中发现错误,则找出并更正错误,直至编译成功为止。

2、选择目标器件并进行引脚锁定。将未使用的管脚设置为三态输入。

3、对该工程文件进行全程编译处理。若在编译过程中发现错误,则找出并更正错误,直到编译成功为止。

4、将产生的clock.sof输出对FPGA进行配置。观察数码管的显示状态。按下按键KEY1、KEY2观察数字钟上的时间有什么变化。

五、实验程序

module clock(clk,key,dig,seg); //模块名clock

input clk; //输入时钟

input[1:0] key; //输入按键

output[7:0] dig; //数码管选择输出引脚

output[7:0] seg; //数码管段输出引脚

reg[7:0] seg_r; //定义数码管输出寄存器

reg[7:0] dig_r; //定义数码管选择输出寄存器

reg[3:0] disp_dat; //定义显示数据寄存器

reg[24:0]count; //定义计数寄存器

reg[23:0]hour; //定义现在时刻寄存器

reg sec,keyen; //定义标志位

reg[1:0]dout1,dout2,dout3; //寄存器

wire[1:0]key_done; //按键消抖输出

assign dig = dig_r; //输出数码管选择

assign seg = seg_r; //输出数码管译码结果

//秒信号产生部分

always @(posedge clk) //定义clock上升沿触发

begin

count = count + 1'b1;

if(count == 25'd2*******) //0.5S到了吗?

begin

count = 25'd0; //计数器清零

sec = ~sec; //置位秒标志

end

end

//按键消抖处理部分

assign key_done = (dout1 | dout2 | dout3); //按键消抖输出

always @(posedge count[17])

begin

dout1 <= key;

dout2 <= dout1;

dout3 <= dout2;

end

always @(negedge key_done[0])

begin

keyen = ~keyen; //将琴键开关转换为乒乓开关end

//数码管动态扫描显示部分

always @(posedge clk) //count[17:15]大约1ms改变一次begin

case(count[17:15]) //选择扫描显示数据

3'd0:disp_dat = hour[3:0]; //秒个位

3'd1:disp_dat = hour[7:4]; //秒十位

3'd2:disp_dat = 4'ha; //显示"-"

3'd3:disp_dat = hour[11:8]; //分个位

3'd4:disp_dat = hour[15:12]; //分十位

3'd5:disp_dat = 4'ha; //显示"-"

3'd6:disp_dat = hour[19:16]; //时个位

3'd7:disp_dat = hour[23:20]; //时十位

endcase

case(count[17:15]) //选择数码管显示位

3'd0:dig_r = 8'b11111110; //选择第一个数码管显示

3'd1:dig_r = 8'b11111101; //选择第二个数码管显示

3'd2:dig_r = 8'b11111011; //选择第三个数码管显示

3'd3:dig_r = 8'b11110111; //选择第四个数码管显示

3'd4:dig_r = 8'b11101111; //选择第五个数码管显示

3'd5:dig_r = 8'b11011111; //选择第六个数码管显示

3'd6:dig_r = 8'b10111111; //选择第七个数码管显示

3'd7:dig_r = 8'b01111111; //选择第八个数码管显示endcase

end

always @(posedge clk)

begin

case(disp_dat)

4'h0:seg_r = 8'hc0; //显示0

4'h1:seg_r = 8'hf9; //显示1

4'h2:seg_r = 8'ha4; //显示2

4'h3:seg_r = 8'hb0; //显示3

4'h4:seg_r = 8'h99; //显示4

4'h5:seg_r = 8'h92; //显示5

4'h6:seg_r = 8'h82; //显示6

4'h7:seg_r = 8'hf8; //显示7

4'h8:seg_r = 8'h80; //显示8

4'h9:seg_r = 8'h90; //显示9

4'ha:seg_r = 8'hbf; //显示-

default:seg_r = 8'hff; //不显示

endcase

if((count[17:15]== 3'd2)&sec)

seg_r = 8'hff;

end

//计时处理部分

always @(negedge sec or negedge key_done[1])//计时处理

begin

if(!key_done[1]) //是清零键吗?

begin

hour = 24'h0; //是,则清零

end

else if(!keyen)

begin

hour[3:0] = hour[3:0] + 1'b1; //秒加1

if(hour[3:0] == 4'ha)

begin

hour[3:0] = 4'h0;

hour[7:4] = hour[7:4] + 1'b1; //秒的十位加一

if(hour[7:4] == 4'h6)

begin

hour[7:4] = 4'h0;

hour[11:8] = hour[11:8] + 1'b1;//分个位加一

if(hour[11:8] == 4'ha)

begin

hour[11:8] = 4'h0;

hour[15:12] = hour[15:12] + 1'b1;//分十位加一

if(hour[15:12] == 4'h6)

begin

hour[15:12] = 4'h0;

hour[19:16] = hour[19:16] + 1'b1;//时个位加一

if(hour[19:16] == 4'ha)

begin

hour[19:16] = 4'h0;

hour[23:20] = hour[23:20] + 1'b1;//时十位加一

end

if(hour[23:16] == 8'h24)

hour[23:16] = 8'h0;

end

end

end

end

end

end

endmodule

java实验报告完整版

实验报告 (计算机与信息工程学院实验中心) 学期: 2014-2015 课程名称:《Java程序设计实验》 班级:信息1202 姓名:方逸梅 学号: 31 指导老师:费玉莲

《Java程序设计》 独立实验教学安排 一、实验的教学方式、安排及实验环境 (一)教学方式 对照本课程的实验教材,实验一至实验十一,由教师提示实验原理、方法、步骤等内容,在教师的指导下,学生独立完成程序设计及调试工作。实验十二的内容由学生自行设计完成。 (二)教学安排 学时数:30课时 学时安排:每次实验3学时,从学期第五周开始,共十次上机实验。 (三)实验环境 实验环境为JDK 。 (四)具体安排 地点:信息大楼实验室。 辅导:每个班次一名辅导老师,原则上由任课老师担任。 登记:实验完成,由辅导老师登记实验纪录。 学生:实验做完,完成实验报告内容,并在学期末上交实验册。 老师:批改实验,成绩与平时成绩一起占期末的30%。 二、实验的具体内容和要求 见实验报告。

浙江工商大学 计算机与信息工程学院实验报告(1)日期:地点:成绩: ━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━ 实验目的、实验原理和内容: 一、实验目的:熟悉Java开发环境及简单程序Java设计。 二、实验原理:SDK 的下载与安装,设置环境变量,安装java 虚拟机,使用Eclipse,编译Java 源程序,运行Java 程序。 三、实验内容及要求: 1.下载、安装并设置Java SDK 软件包。 2.熟悉Eclipse 编辑软件。 3.掌握运行Java 程序的步骤。 4.分别编写Application和Applet程序,显示字符串”Hello Java!欢迎使用!”。 要求:请同学把预备知识、步骤、程序框图、调试好的程序及存在的问题写在下面(不够可以附页)。 程序一 public class hello { public static void main(String[] args) { for(int i=0;i<=4;i++) { "Hello java! 欢迎使用!"); } }

大学物理实验报告书(共6篇)

篇一:大学物理实验报告1 图片已关闭显示,点此查看 学生实验报告 学院:软件与通信工程学院课程名称:大学物理实验专业班级:通信工程111班姓名:陈益迪学号:0113489 学生实验报告 图片已关闭显示,点此查看 一、实验综述 1、实验目的及要求 1.了解游标卡尺、螺旋测微器的构造,掌握它们的原理,正确读数和使用方法。 2.学会直接测量、间接测量的不确定度的计算与数据处理。 3.学会物理天平的使用。 4.掌握测定固体密度的方法。 2 、实验仪器、设备或软件 1 50分度游标卡尺准确度=0.02mm 最大误差限△仪=±0.02mm 2 螺旋测微器准确度=0.01mm 最大误差△仪=±0.005mm 修正值=0.018mm 3 物理天平 tw-0.5 t天平感度0.02g 最大称量 500g △仪=±0.02g 估读到 0.01g 二、实验过程(实验步骤、记录、数据、分析) 1、实验内容与步骤 1、用游标卡尺测量圆环体的内外径直径和高各6次; 2、用螺旋测微器测钢线的直径7次; 3、用液体静力称衡法测石蜡的密度; 2、实验数据记录表 (1)测圆环体体积 图片已关闭显示,点此查看 (2)测钢丝直径 仪器名称:螺旋测微器(千分尺)准确度=0.01mm估读到0.001mm 图片已关闭显示,点此查看 图片已关闭显示,点此查看 测石蜡的密度 仪器名称:物理天平tw—0.5天平感量: 0.02 g 最大称量500 g 3、数据处理、分析 (1)、计算圆环体的体积 1直接量外径d的a类不确定度sd ,sd=○ sd=0.0161mm=0.02mm 2直接量外径d的b类不确定度u○ d. ud,= ud=0.0155mm=0.02mm 3直接量外径d的合成不确定度σσ○ σd=0.0223mm=0.2mm 4直接量外径d科学测量结果○ d=(21.19±0.02)mm d = 5直接量内径d的a类不确定度s○

化学实验报告完整版

化学实验报告 HUA system office room 【HUA16H-TTMS2A-HUAS8Q8-HUAH1688】

化学实验报告 化学是一门以实验为基础的学科。化学上的许多理论和定律都是从实验中发现归纳出来的。同时,化学理论的应用、评价也有赖于实验的探索和检验。虽然到了近代乃至现代,化学的飞速进步已经产生了各种新的研究方法,但是,实验方法仍然是化学不可缺少的研究手段。新课程改革将科学探究作为突破口,科学探究不但是一种重要的学习方式,同时也是中学化学课程的重要内容,它对发展学生的科学素养具有不可替代的作用。而化学实验是科学探究的重要形式。 用化学实验的方法学习化学,既符合化学的学科特点也符合学生学习化学的认识特点,是化学教学实施素质教育的基本手段。新课程标准提倡学生独立进行或合作开展化学实验研究。通过化学实验能激发学生的学习兴趣,帮助学生通过使用探究形成化学概念、理解化学基础理论、掌握化学知识和技能,培养学生的科学态度和价值观,帮助学生发展思维能力和训练实验技能,从而达到全面提高学生的科学素养的目的。 一、对新课程标准下的中学化学实验的认识 《普通高中化学课程标准》明确了高中化学课程的基本理念:立足于学生适应现代生活和未来发展的需要,着眼于提高21世纪公民的科学素养,构建“知识与技能”、“过程与方法”、“情感态度与价值观”相融合的高中化学课程目标体系。“知识与技能”即过去的“双基”;“过程与方法”是让学生掌握学习的方法,学会学习;“情感态度与价值观”是人文关怀的体现。所以新的课程理念的核心是“让学生在知识探索的过程中,在知识、学法、人文等方面得到发展。”其中第5条特别强调:“通过以化学实验为主的多种探究活动,使学生体验科学研究的过程,激发学习化学的兴趣,强化科学探究的意识,促进学习方式的转变,培养学生的创新精神和实践能力。”[1]高中化学课程由2个必修模

(完整版)初中生物实验报告单.docx

实验报告单 实验时间年月日(星期)班级学生姓名 实验内容练习使用显微镜 说出显微镜的主要结构的名称和用途。 实验目的练习使用显微镜,学会规范操作显微镜。 尝试使用低倍镜观察到清晰的物像。 实验器材显微镜、写有“上”字的玻片、擦镜纸、纱布。 实验报告单实验时间年月日(星期)班级学 实验内容观察人和动物细胞的基本 学会制作人口腔上皮细胞临时装片。 实验目的用显微镜观察动物细胞的形态结构。 初步学会画细胞结构图。 显微镜、载玻片、盖玻片、0.9%生理盐水、碘液、 实验器材 吸水纸、其他动物细胞的永久装片。 实验步骤 1、取镜安放实 2、对光 3、放置玻片验 标本 步 4、观察 骤 实验步骤 5、收放 结 论 实验过程讨论分析 取显微镜时,左手握 显微镜是贵重仪器,双手取镜是为了。 住,右手托 安放显微镜略偏左的目的是: 住。安放显微镜应略 。 偏。 转动转换器,使低倍物镜对准当外界光源暗时,应选用光圈对准通光孔,同时选 孔。用反光镜。 把要观察的玻片放在 尽量使要观察的标本正对通光孔中央,这样物像容易 上,尽量使要观察的标本正对 在中找到。 中央。 转动粗准焦螺旋,使镜筒缓缓 眼睛应从侧面注视的目的是:避免 。 降,直至为 镜筒上升切忌太快,因为只有在 止,眼睛应从侧面注 位置上,物象才清晰。若镜筒上升太快,极易错过 视。 焦距。 时针转动粗准焦螺旋, 要将视野右下方的物像移到视野中央,则推移装片的 使镜简缓缓上升直到看清物像为 方向是。 止。再转动 “上”字装片在显微镜下呈图像。说明显微镜成像 准焦螺旋,使物像更清晰。 是。 实验过程讨论分析 实验后,把显微镜擦拭干净。 转动转换器使两个物镜。镜 筒降至处,反光镜放在 实 验 成 绩 实验步骤实验过程 为什 ①擦干净载玻片和盖玻片。 ②在载玻片中央,滴一滴 碎屑 实浓度一般是。 抹要均 1、制作人口腔 ③用消毒牙签的一端在口腔 侧壁轻刮几下。 验上皮细胞临时装避免 片。 ④把牙签上附有碎屑的一端, 放在载玻片的水滴中涂抹几下。 步⑤盖上盖玻片。 气泡与 ⑥在盖玻片一侧加在 骤 另一侧用吸水纸吸。 2、是微镜观察 人口腔上皮细胞 实验步骤实验过程讨论分 按生物绘图要求,画出人体口腔上皮细胞的结构 结图,并注明各部分结构的名称。实 验 成 论绩 指导教师: _________________实验教 指导教师: _________________实验教师:_______________

大学计算机实验报告范例(完整版)

报告编号:YT-FS-1587-65 大学计算机实验报告范例 (完整版) After Completing The T ask According To The Original Plan, A Report Will Be Formed T o Reflect The Basic Situation Encountered, Reveal The Existing Problems And Put Forward Future Ideas. 互惠互利共同繁荣 Mutual Benefit And Common Prosperity

大学计算机实验报告范例(完整版) 备注:该报告书文本主要按照原定计划完成任务后形成报告,并反映遇到的基本情况、实际取得的成功和过程中取得的经验教训、揭露存在的问题以及提出今后设想。文档可根据实际情况进行修改和使用。 一、实验题目 文件和文件夹的管理 二、实验目的 1.熟悉Windows XP的文件系统。 2.掌握资源管理器的使用方法。 3.熟练掌握在Windows XP资源管理器下,对文件 (夹)的选择、新建、移动、复制、删除、重命名的 操作方法。 三、实验内容 1.启动资源管理器并利用资源管理器浏览文件。 2.在D盘创建文件夹 3.在所创建文件夹中创建Word文件。 4.对所创建文件或文件夹执行复制、移动、重命

名、删除、恢复、创建快捷方式及设置共享等操作。 四、实验步骤 (一)文件与文件夹管理 1.展开与折叠文件夹。右击开始,打开资源管理器,在左窗格中点击“+”展开,点击“—”折叠 2.改变文件显示方式。打开资源管理器/查看,选择缩略、列表,排列图标等 班/王帅、王鹏 3.建立树状目录。在D盘空白处右击,选择新建/文件夹,输入经济贸易学院,依次在新建文件夹中建立经济类1103 4..创建Word并保存。打开开始/程序/word,输入内容。选择文件/另存为,查找D盘/经济贸易学院/1103班/王帅,单击保存 5.复制、移动文件夹 6.重命名、删除、恢复。右击文件夹,选择重命名,输入新名字;选择删除,删除文件 7.创建文件的快捷方式。右击王帅文件夹,选择

java实验报告完整版

实验报告 (计算机与信息工程学院实验中心) 学期: 2014-2015 课程名称: 《Java程序设计实验》 班级: 信息1202 姓名: 方逸梅 学号: 1212100231 指导老师: 费玉莲 《Java程序设计》 独立实验教学安排 一、实验的教学方式、安排及实验环境 (一)教学方式 对照本课程的实验教材,实验一至实验十一,由教师提示实验原理、方法、步骤等内容,在教师的指导下,学生独立完成程序设计及调试工作。实验十二的内容由学生自行设计完成。 (二)教学安排 学时数:30课时 学时安排:每次实验3学时,从学期第五周开始,共十次上机实验。 (三)实验环境 实验环境为JDK 1、6。

(四)具体安排 地点:信息大楼实验室。 辅导:每个班次一名辅导老师,原则上由任课老师担任。 登记:实验完成,由辅导老师登记实验纪录。 学生:实验做完,完成实验报告内容,并在学期末上交实验册。 老师:批改实验,成绩与平时成绩一起占期末的30%。 二、实验的具体内容与要求 见实验报告。

浙江工商大学 计算机与信息工程学院实验报告(1)日期:地点:成绩: ━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━实验目的、实验原理与内容: 一、实验目的:熟悉Java开发环境及简单程序Java设计。 二、实验原理:SDK 的下载与安装,设置环境变量,安装java 虚拟机,使用Eclipse,编译Java 源程序,运行Java 程序。 三、实验内容及要求: 1. 下载、安装并设置Java SDK 软件包。 2. 熟悉Eclipse编辑软件。 3.掌握运行Java 程序的步骤。 4.分别编写Application与Applet程序,显示字符串”Hello Java!欢迎使用!”。 要求:请同学把预备知识、步骤、程序框图、调试好的程序及存在的问题写在下面(不够可以附页)。 程序一 public class hello { public static void main(String[] args) { for(int i=0;i<=4;i++) { System、out、println("Hello java! 欢迎使用!"); } } } 结果示意图1

实验报告要求和格式完整版

编号:TQC/K633 实验报告要求和格式完整 版 Daily description of the work content, achievements, and shortcomings, and finally put forward reasonable suggestions or new direction of efforts, so that the overall process does not deviate from the direction, continue to move towards the established goal. 【适用信息传递/研究经验/相互监督/自我提升等场景】 编写:________________________ 审核:________________________ 时间:________________________ 部门:________________________

实验报告要求和格式完整版 下载说明:本报告资料适合用于日常描述工作内容,取得的成绩,以及不足,最后提出合理化的建议或者新的努力方向,使整体流程的进度信息实现快速共享,并使整体过程不偏离方向,继续朝既定的目标前行。可直接应用日常文档制作,也可以根据实际需要对其进行修改。 实验报告要求 1. 认真完成实验报告,报告要用中国海洋大学实验报告纸,作图要用坐标纸。 2. 报告中的电路图、光路图、表格必须用直尺画,数据使用钢笔、圆珠笔不得使用铅笔。 3. 应在理解的基础上简单扼要的书写实验原理,不提倡大段抄书。 4. 应结合具体的实验现象和问题进行讨论。 实验报告格式

实验报告总结(完整版)

报告编号:YT-FS-9125-27 实验报告总结(完整版) After Completing The T ask According To The Original Plan, A Report Will Be Formed T o Reflect The Basic Situation Encountered, Reveal The Existing Problems And Put Forward Future Ideas. 互惠互利共同繁荣 Mutual Benefit And Common Prosperity

实验报告总结(完整版) 备注:该报告书文本主要按照原定计划完成任务后形成报告,并反映遇到的基本情况、实际取得的成功和过程中取得的经验教训、揭露存在的问题以及提出今后设想。文档可根据实际情况进行修改和使用。 教育实验报告 对某种教育现象实验后,要对整个实验过程进行全面总结,提出一个客观的、概括的、能反映全过程及其结果的书面材料,即谓教育实验报告。教育实验报告可分为三部分:①前言。②实验过程和结果。③讨论及结论。实验报告的基本结构: (1)题目。应以简练、概括、明确的语句反映出教育的对象、领域、方法和问题,使读者一目了然,判断出有无阅读价值。 (2)单位、作者。应写明研究者的工作单位,或写明某某课题实验者或牵头人、组长、撰稿人,其他人员可写在报告的结尾处。以示对实验报告的负责,并便于读者与之联系。

(3)课题部分。是实验研究工作的出发点和实验报告的核心。课题的表述要具体、清楚,明确表示出作者的研究方向、目的,并说明课题来源、背景、针对性及解决该课题的实际意义的价值。 (4)实验方法。这是实验报告的主要内容之一,目的是使人了解研究结果是在什么条件下和情况中通过什么方法,根据什么事实得来的,从而判定实验研究的科学性和结果的真实性和可靠性,并可依此进行重复验证。关于实验方法主要应交代:①怎样选择被试,被试的条件、数量、取样方式,实验时间及研究结果的适应范围。②实验的组织类型(方法)及采取这种组织类型的依据。即:单组实验、等组实验还是轮组实验;采取这种实验类型的依据包括哪些方面,如考试成绩及评分标准;基础测定及测定内容等。③实验的具体步骤;对实验班进行实验处理的情况。④因果共变关系的验证(要注意原因变量一定要出现在结果变量之前,或两者同时出现,但不能产生于结果变量之后,否则先果后因,实验就不成立了)。这里,

实验报告大全(完整版)

报告编号:YT-FS-8562-62 实验报告大全(完整版) After Completing The T ask According To The Original Plan, A Report Will Be Formed T o Reflect The Basic Situation Encountered, Reveal The Existing Problems And Put Forward Future Ideas. 互惠互利共同繁荣 Mutual Benefit And Common Prosperity

实验报告大全(完整版) 备注:该报告书文本主要按照原定计划完成任务后形成报告,并反映遇到的基本情况、实际取得的成功和过程中取得的经验教训、揭露存在的问题以及提出今后设想。文档可根据实际情况进行修改和使用。 实验要求及说明: 1、基本要求是程序必须实现部分。在完成基本要求的基础上,可对程序功能进行增强和增加。程序功能的增强可以获得额外的成绩。 2、程序的书写应符合规范。应具有适当的缩进、空格和空行,清晰的注释。函数名和变量名应尽量有意义,能够反映用途。(书写不符合要求的程序要扣分) 3、实验报告中,要对每个程序要有详细的功能描述、输入和输出说明,程序代码和程序运行结果。(功能描述不清晰、输入输出说明不准确对报告要扣分) 4、除规定的实验内容之外,每人可以提交一个自己设计的程序,要求同上。(有附加分)

5、合格条件:1)完成三个实验。2)按要求书写实验报告。3)独立完成。 6、上述说明在提交的报告中删除。 实验一:数据分析程序 编写一个程序,从数据文件中读取数据,并计算数据的统计特性,如均值和标准差。在显示器上输出数据的总数、均值和标准差。具体说明如下:数据文件名作为程序参数输入。 2. 数据文件中数据的个数预先未知,应从文件中得到。数据文件的格式可自定义。程序的各功能应由不同的函数完成。 实验二:形状表示程序 基本要求 定义三角形(Triangle)、矩形(Rectangle)和圆形(Circle)三个形状类。编写一个程序,能够根据用户输入生成相应的形状类对象。将形状的信息输出到显示器和文件中。具体说明如下: 1. 三个形状类应包含构造函数和成员函数(函数

有关实验报告的书写格式(完整版)

报告编号:YT-FS-3825-30 有关实验报告的书写格式 (完整版) After Completing The T ask According To The Original Plan, A Report Will Be Formed T o Reflect The Basic Situation Encountered, Reveal The Existing Problems And Put Forward Future Ideas. 互惠互利共同繁荣 Mutual Benefit And Common Prosperity

有关实验报告的书写格式(完整版) 备注:该报告书文本主要按照原定计划完成任务后形成报告,并反映遇到的基本情况、实际取得的成功和过程中取得的经验教训、揭露存在的问题以及提出今后设想。文档可根据实际情况进行修改和使用。 一、完整实验报告的书写 完整的一份实验报告一般包括以下项目:实验名 称: 实验目的: 实验器材: 实验原理: 实验步骤: 实验数据记录(表格)及处理: 实验结论(结果推导): 实验讨论或分析等。 二、实验报告书写方法 1、实验名称:就是这个实验是做什么的。 2、实验目的:一般都写掌握什么方法啊;了解什

么啊;知道什么啊;会什么啊;……等。 3、实验器材:就是做这个实验需要的所有器材(仪器)。 4、实验原理:就是这个实验是根据什么来做的,一般书上会写,抄一下也就可以啦。 5、实验步骤:就是你做实验的过程,开始操作时,(1)做什么; (2)做什么;(3)做什么;…… 6、实验数据记录(表格)及处理:根据实验中涉及以及实验得到的数据,设计表格,将有关数据填在表格相应的位置;数据处理,就是该计算的,按要求计算后填入表格对应位置。 7、实验结论(结果推导):就是做这个实验要得到的结果。 8、分析于讨论:写你的实验结果是否适合真实值?如果有误差要分析产生误差的原因,还有实验的一些比较关键的步骤的注意事项等。 对于初中生或小学生来说,书写的实验报告也可简单一点,有时也可不要分析于讨论,也可不写实验

java实验报告完整版

java实验报告完整版 验报告(计算机与信息工程学院实验中心)学期: 全文结束》》-xx 课程名称: 《Java程序设计实验》班级: 信息1202 姓名: 方逸梅学号:1212100231 指导老师: 费玉莲《Java程序设计》独立实验教学安排 一、实验的教学方式、安排及实验环境 (一)教学方式对照本课程的实验教材,实验一至实验一,由教师提示实验原理、方法、步骤等内容,在教师的指导下,学生独立完成程序设计及调试工作。实验二的内容由学生自行设计完成。 (二)教学安排学时数:30课时学时安排:每次实验3学时,从学期第五周开始,共次上机实验。 (三)实验环境实验环境为JDK 1、6。 (四)具体安排地点:信息大楼实验室。辅导:每个班次一名辅导老师,原则上由任课老师担任。登记:实验完成,由辅导老师登记实验纪录。学生:实验做完,完成实验报告内容,并在学期末上交实验册。老师:批改实验,成绩与平时成绩一起占期末的30%。

二、实验的具体内容和要求见实验报告。浙江工商大学计算机与信息工程学院实验报告(1)日期: 地点: 成绩: ━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━ 实验目的、实验原理和内容: 一、实验目的:熟悉Java开发环境及简单程序Java设计。 二、实验原理:SDK 的下载与安装,设置环境变量,安装java 虚拟机,使用Eclipse,编译Java 源程序,运行Java 程序。 三、实验内容及要求: 1、下载、安装并设置Java SDK 软件包。 2、熟悉Eclipse 编辑软件。 3、掌握运行Java 程序的步骤。 4、分别编写Application和Applet程序,显示字符 串”Hello Java!欢迎使用!”。要求:请同学把预备知识、步骤、程序框图、调试好的程序及存在的问题写在下面(不够可以附页)。程序一public class hello {public static void main(String[] args) {for(int i=0;i<=4;i++){System、out、println("Hello java! 欢迎使用!");}}}结果示意图1存在的问题

实验报告要求和格式(完整版)

报告编号:YT-FS-2657-49 实验报告要求和格式(完 整版) After Completing The T ask According To The Original Plan, A Report Will Be Formed T o Reflect The Basic Situation Encountered, Reveal The Existing Problems And Put Forward Future Ideas. 互惠互利共同繁荣 Mutual Benefit And Common Prosperity

实验报告要求和格式(完整版) 备注:该报告书文本主要按照原定计划完成任务后形成报告,并反映遇到的基本情况、实际取得的成功和过程中取得的经验教训、揭露存在的问题以及提出今后设想。文档可根据实际情况进行修改和使用。 实验报告要求 1. 认真完成实验报告,报告要用中国海洋大学实验报告纸,作图要用坐标纸。 2. 报告中的电路图、光路图、表格必须用直尺画,数据使用钢笔、圆珠笔不得使用铅笔。 3. 应在理解的基础上简单扼要的书写实验原理,不提倡大段抄书。 4. 应结合具体的实验现象和问题进行讨论。 实验报告格式 1. 学生姓名、学号、实验组号及组内编号; 2. 实验题目; 3. 目的要求; 4. 仪器用具: 仪器名称及主要规格(包括量程、

分度值等)、用具名称; 5. 实验原理:简单但要抓住要点,即要写出原理依据的公式名称、公式表达式、公式中各物理量的名称、公式成立的条件。画出电路图、光路图等; 6. 实验内容:应包括主要实验步骤、测量及调节方法、观察到的现象、变化的规律以及相应的解释等; 7. 数据处理:画出数据表格(写明物理量和单位);按实验要求处理数据。 写出处理过程及误差。 8. 结果讨论:写出结论,对实验中存在的问题、进一步的想法等进行讨论; 9.作业题; 这里填写您企业或者单位的信息 Fill In The Information Of Your Enterprise Or Unit Here

操作系统课程实验报告(完整版)

. . 中南大学 《操作系统》实验报告 姓名:福星 专业班级:软件 1006班 学号: 完成日期: 2011.11.22

进程调度与存管理 一、实验目的 在采用多道程序设计的系统中,往往有若干个进程同时处于就绪状态。当就续进程个数大于处理器数时,就必须依照某种策略来决定哪些进程优先占用处理器。实验模拟实现处理机调度,以加深了解处理机调度的工作,并体会优先级和时间片轮转调度算法的具体实施方法。帮助了解在不同的存储管理方式下,应怎样实现主存空间的分配和回收。 二、实验要求 1、可随机输入若干进程,并按优先权排序; 2、从就绪队首选进程运行:优先权-1/要求运行时间-1 要求运行时间=0时,撤销该进程 3、重新排序,进行下轮调度。 4、可随时增加进程; 5、规定道数,设置后备队列和挂起状态。若存中进程少于规定道数,可自动从后备队 列调度一作业进入。被挂起进程入挂起队列,设置解挂功能用于将指定挂起进程解挂入就绪队列。 6、每次调度后,显示各进程状态。 7、自行假设主存空间大小,预设操作系统所占大小并构造未分分区表; 表目容:起址、长度、状态(未分/空表目) 8、结合以上实验,PCB增加为: {PID,要求运行时间,优先权,状态,所需主存大小,主存起始位置, PCB指针} 9、采用最先适应算法分配主存空间; 10、进程完成后,回收主存,并与相邻空闲分区合并。 11、采用图形界面;

三、实验容 选择一个调度算法,实现处理机调度。 1、设计一个按优先权调度算法实现处理机调度的程序; 2、设计按时间片轮转实现处理机调度的程序。 3、主存储器空间的分配和回收。在可变分区管理方式下,采用最先适应算法实现主存空间的分配和回收。 四、实验原理 该模拟系统采用java语言实现,要实现的功能有新建进程、进程调度、挂起进程、解挂进程、删除进程,道数和时间片大小可以由用户自己调整,有两种调度策略:按优先权调度和按时间片轮转调度。每个进程可能有5种状态:新建(new)、就绪(ready)、运行(running)、阻塞(waiting)、挂起(suspend)。每个状态都有一个队列用来存放处于该状态的进程,不同的调度策略采用不同的队列实现。当创建进程时,如果存中的进程数还没达到规定道数,则将新建进程插入就绪队列,如果存中进程数已经达到规定道数,则插到后备队列,后备队列中的进程的状态为new。CPU每次调度时都从就绪队列中取进程,在进程执行过程中如果下一个操作时IO操作,则将进程插入到waiting队列。在系统运行过程中可以执行进程挂起操作,但执行的挂起操作时系统自动暂停运行,在弹出窗口选择要挂起的进程后,将选中的进程从原来的队列中删除并插入到挂起队列。进行解挂操作时将选中的进程从挂起队列中删除并插入该进程原来所处的队列。 ?按优先级调度: 当选择按优先权调度时,所有队列都采用优先队列,优先队列采用一个有序链表实现,进程的优先权值越大代表优先级越高,优先队列中的进程按优先权从大到小排列,当新进程插入时根据该进程的优先权插入到队列中的合适位置,插入后保持队列按优先权从大到小排列,如果新进程与队列中某个进程优先权值相等,则该新进程插到那个进程后面,以遵循先来先服务的规则。当要从队列中取出进程时总是取队列中第一个进程,因为该进程的优先级最高。 ?按时间片轮转调度: 当选择按时间片轮转调度时,所有队列都采用先进先出队列,先进先出队列采用一个普通单向链表实现,当新进程插入时插入到队列的末尾,当要取进程时取队首进程,这样就实现了先进先出。

实验报告总结(精选8篇)完整版

《实验报告总结》 实验报告总结(一): 一个长学期的电路原理,让我学到了很多东西,从最开始的什么都不懂,到此刻的略懂一二。 在学习知识上面,开始的时候完全是老师讲什么就做什么,感觉速度还是比较快的,跟理论也没什么差距。但是之后就觉得越来越麻烦了。从最开始的误差分析,实验报告写了很多,但是真正掌握的确不多,到最后的回转器,负阻,感觉都是理论没有很好的跟上实践,很多状况下是在实验出现象以后在去想理论。在实验这门课中给我最大的感受就是,必须要先弄清楚原理,在做实验,这样又快又好。 在养成习惯方面,最开始的时候我做实验都是没有什么条理,想到哪里就做到哪里。比如说测量三相电,有很多种状况,有中线,无中线,三角形接线法还是Y形接线法,在这个实验中,如果选取恰当的顺序就能够减少很多接线,做实验就应要有良好的习惯,就应在做实验之前想好这个实验要求什么,有几个步骤,就应怎样安排才最合理,其实这也映射到做事情,不管做什么事情,就应都要想想目的和过程,这样才能高效的完成。电原实验开始的几周上课时间不是很固定,实验报告也累计了很多,第一次感觉有那么多实验报告要写,在交实验报告的前一天很多同学都通宵了的,这说明我们都没有合理的安排好自己的时间,我就应从这件事情中吸取教训,合理安排自己的时间,完成就应完成的学习任务。这学期做的一些实验都需要严谨的态度。在负阻的实验中,我和同组的同学连了两三次才把负阻链接好,又浪费时间,又没有效果,在这个实验中,有很多线,很容易插错,所以要个性仔细。 在最后的综合实验中,我更是受益匪浅。完整的做出了一个红外测量角度的仪器,虽然不是个性准确。我和我组员分工合作,各自完成自己的模块。我负责的是单片机,和数码显示电路。这两块都是比较简单的,但是数码显示个性需要细致,由于我自己是一个粗心的人,所以数码管我检查了很多遍,做了很多无用功。 总结:电路原理实验最后给我留下的是:严谨的学习态度。做什么事情都要认真,争取一次性做好,人生没有太多时间去浪费。 实验报告总结(二): 在分子生物学实验室为期两个月的实习使我受益匪浅,我不仅仅学习到了专业知识,更重要的是收获了经验与体会,这些使我一生受用不尽,记下来与大家共勉: 1.手脚勤快,热心帮忙他人。初来匝道,不管是不是自己的份内之事,都就应用心去完成,也许自己累点,但你会收获很多,无论是知识与经验还是别人的

(完整版)实验报告

《物流系统分析规划与设计》实验报告 组员:冯宏伟 08251147 毕克菲 08251145 冯沁雨 08251148

本次实验是运用taraVRbuilder软件来进行一次物流规划仿真模拟设计,taraVRbuilder是一款运用虚拟现实技术进行三维建模和模拟基于时间的传输、物流和保管、仓储物资的软件工具。他的应用领域包括销售支持、计划、工程规划以及档案整理等。它的特色在于用户可以再不具备特别的编程和三维设计技能的情况下,简便快捷的创造虚拟、三维的动画场景。学会运用此软件,将方便我们对物流系统的规划和设计。 1实验名称:物流中心规划设计及仿真 2实验目的: 1)通过实际操作物流规划仿真软件(Tara VR Builder)使学生掌握采用物流仿真软件对物流系统进行规划和优化的方法; 2)加深学生对物流系统分析、规划与设计相关理论、方法和步骤等知的理解;3)并进一步培养学生从物流实际业务运营管理中发现问题、提炼问题、构造模型、实验测试、分析评价方案等一体化的解决问题的能力; 4)兼顾提升学生总结研究成果,撰写科技论文的能力。 3实验任务: 根据所学到的物流系统知识,以物流配送中心规划设计和配送方案设计为重点内容进行课程设计。具体任务: 在对物流配送中心布局、作业流程、设备了解的基础上,利用软件(Tara VR Builder)来模拟和仿真实现配送中心各个作业子系统的规划和设计,包括:(1)出库作业系统规划与仿真 搭建厂房。货物在配送中心的时候要进行出库作业,叉车将货物从仓库中取出,堆放至仓库外指定地点。 要求出库作业系统具有以下物流源: ●高架货仓,用来充当货源; ●传送带; ●走行路径以及叉式装卸车; ●厂房(使用外部模型); ●货源种类(配置三种不同的货物储备); ●动画运行。 (2)包装加工系统规划与仿真

测试技术实验报告(完整)

测试技术实验报告 班级: 姓名: 学号: 河南科技大学机电工程学院测控教研室 二O一一年五月

实验一 测量电桥静态特性测试报告 同组人: 时间: 一、实验目的 1. 熟悉静态电阻应变仪的工作原理和使用方法 2. 熟悉测量电桥的三种接法,验证公式04n y e e δε= 3. 分析应变片组桥与梁受力变形的关系,加深对等强度梁概念的理解 4. 验证温度对测量的影响并了解消除方法 二、实验设备 静态电阻应变仪、等强度梁、砝码、应变片 三、实验原理 等强度梁受外力变形时,贴在其上的应变片的电阻也随之发生相应的变化。应变片连接在应变仪测量桥的桥臂上,则应变片电阻的变化就转换为测量电桥输出电压的变化,应变仪采用“零位法”进行测量。它采用双桥电路,一个是测量桥,另一个为读数桥。当测量桥有电压输出时,调整读数桥的刻度盘,使仪表指针为零。则此时读数桥读数与桥臂系数之比即为试件的实验应变值。 四、实验数据整理 在等强度梁上逐级加载、卸载,并把三种电桥接法的测量结果填入表1。 表1 三种电桥接法的测量结果处理

注:理论应变2 =E bh ε理,其中10b =;h=6mm ;E=2×1011N/m 2 五、问答题 1、 试分析实验中同一载荷下,半桥接法相对于单臂和全桥接法的仪器输出有什么不同 半桥接法时,仪器输出是单臂接法仪器输出的2倍,是全桥接法仪器输出的1/2,单臂接法时01R U =U 4R ?± ,半桥时01R U =U 2R ?±,全桥时0R U =U R ?±。同时,由上图数据可以看出,每对应一个负荷时,半桥接法时的仪器输出是单臂时的2倍,全桥的1/2。 2、 单臂测量时若试件温度升高,仪器输出(指针)如何变化说明变化的原因。 仪器输出将变大。当试件受力且试件温度升高时,输出电压F T 0R R 1U = +4R R ???? ??? , R 为试件电阻,而本实验输出的是应变片的应变ε,F T 1R R 1=+S R R ε???? ??? ,若试件温度升高时,则没有温度影响 T R R ?,F 2R =SR ε?,显然,温度升高的变化1ε大于温度没有升高时的变化2ε,故试件温度升高时,仪器输出将变大。 3、 某等强度梁受力及布片如图所示,试问该如何组桥能测出力F 若将该梁换成等截面梁, 又该如何布片如何组桥方能测出力F

初三化学实验报告完整版

编号:TQC/K955初三化学实验报告完整版 Daily description of the work content, achievements, and shortcomings, and finally put forward reasonable suggestions or new direction of efforts, so that the overall process does not deviate from the direction, continue to move towards the established goal. 【适用信息传递/研究经验/相互监督/自我提升等场景】 编写:________________________ 审核:________________________ 时间:________________________ 部门:________________________

初三化学实验报告完整版 下载说明:本报告资料适合用于日常描述工作内容,取得的成绩,以及不足,最后提出合理化的建议或者新的努力方向,使整体流程的进度信息实现快速共享,并使整体过程不偏离方向,继续朝既定的目标前行。可直接应用日常文档制作,也可以根据实际需要对其进行修改。 实验步骤 (1)在试管中加入5mL5%的过氧化氢溶液,把带火星的木条伸入试管; (2)加热实验(1)的试管,把带火星的木条伸入试管; (3)在另一支试管中加入5mL5%的过氧化氢溶液,并加入2g二氧化锰,把带火星的木条伸入试管; (4)待实验(3)的试管内液体不再有现象发生时,重新加热3mL5%的过氧化氢溶液,把带火星的木条伸入试管;(该步

小学自然实验报告(完整版)

报告编号:YT-FS-2923-90 小学自然实验报告(完整 版) After Completing The T ask According To The Original Plan, A Report Will Be Formed T o Reflect The Basic Situation Encountered, Reveal The Existing Problems And Put Forward Future Ideas. 互惠互利共同繁荣 Mutual Benefit And Common Prosperity

小学自然实验报告(完整版) 备注:该报告书文本主要按照原定计划完成任务后形成报告,并反映遇到的基本情况、实际取得的成功和过程中取得的经验教训、揭露存在的问题以及提出今后设想。文档可根据实际情况进行修改和使用。 教学模式是在一定的教学思想或教学理论的指导下建立起来的,较为稳定的教学活动结构框架和活动程序。“结构框架”意在从宏观把握教学活动整体各要素之间的内部关系;“活动程序”意在突出教学模式的有序性和可行性。 自然学科是人类在认识自然的过程中所积累的知识。它与人的认识过程有较高的一致性,最适用于发现式的学习方法。实验是传授自然科学知识和培养与发展学生各种能力的重要手段。我校的教研组推出的四环节实验课教学模式,以其较完美的操作性、开放性、优效性和灵活性形成了自然实验课的基本框架,较好地揭示课堂教学的一般程序、课堂教学诸因素的内在联系和课堂教学的普遍规律。现就模式谈一下我

在教学中的实践与几点体会。 一、教学模式的四个环节在实践中的具体运用 (一)提出问题阶段 提出问题阶段是当研究一个问题时,为了激发学生的求知欲望,引导学生探索并调动他们积极性的阶段。教师可结合要研究的问题,用生动形象的语言恰如其分地提问,让学生在观察和思维中发现问题。 例如,《物体的热胀冷缩》一课,先进行演示实验,在铁架台上放一平底烧瓶,瓶中装满水,用酒精灯加热,水还没烧开,瓶中的水就往外溢。教师接着问大家,你们看了这个现象有什么想法?学生一下子提出许多问题:“为什么水加热后往上溢呢?”“水难道会变多吗?” 教学时,为了激发学生探求知识的欲望,应千方百计创造性地运用各种方法,如:做游戏、讲故事、变魔术、猜谜语、出示挂图、运用幻灯等。引起学生要研究问题的兴趣,提出自己的想法。 (二)作出假设阶段

数据库实验报告(完整版)

数据库实验报告 班级:07111103 学号:1120111857 姓名:曹睿鹏

实验一: [实验内容1 创建和修改数据库] 分别使用SQL Server Management Studio和Transact-SQL语句,按下列要求创建和修改用户数据库。 1.创建一个数据库,要求如下: (1)数据库名"testDB"。 (2)数据库中包含一个数据文件,逻辑文件名为testDB_data,磁盘文件名为 testDB_data.mdf,文件初始容量为5MB,最大容量为15MB,文件容量递增值 为1MB。 (3)事务日志文件,逻辑文件名为TestDB_log, 磁盘文件名为TestDB_log.ldf,文件 初始容量为5MB, 最大容量为10MB,文件容量递增值为1MB。 2.对该数据库做如下修改: (1)添加一个数据文件,逻辑文件名为TestDB2_data,实际文件为TestDB2_data.ndf, 文件初始容量为1MB,最大容量为6MB,文件容量递增值为1MB。 (2)将日志文件的最大容量增加为15MB,递增值改为2MB。 方法一:使用SQL Server Management Studio创建和修改数据库TestDB 方法二:使用Transact-SQL语句创建和修改数据库TestDB 方法一过于简单,暂不做讨论。 下面学习方法二。 首先,在sql sever 2008中单击新建查询。 然后键入下面的代码。 建立新的数据库。 1. 创建一个数据库,要求如下:

2.对该数据库做如下修改:对刚刚的操作进行验证

数据均已更新完毕。 [实验内容2 数据表的创建、修改和查询] 1.熟悉有关数据表的创建和修改等工作,并了解主键、外键以及约束的创建和应用, 熟练掌握使用SQL Server Management Studio和CREATE TABLE、ALTER TABLE 等Transact-SQL语句对数据表的操作方法 字段名数据类型字段长度注释 项目编码char 10 主键 名称varchar 负责人编码char 10 客户int 开始日期datetime 结束日期datetime 字段名数据类型字段长度注释 员工编码char 10 主键 姓名varchar

化学实验报告(完整版)

报告编号:YT-FS-1062-89 化学实验报告(完整版) After Completing The T ask According To The Original Plan, A Report Will Be Formed T o Reflect The Basic Situation Encountered, Reveal The Existing Problems And Put Forward Future Ideas. 互惠互利共同繁荣 Mutual Benefit And Common Prosperity

化学实验报告(完整版) 备注:该报告书文本主要按照原定计划完成任务后形成报告,并反映遇到的基本情况、实际取得的成功和过程中取得的经验教训、揭露存在的问题以及提出今后设想。文档可根据实际情况进行修改和使用。 化学是一门以实验为基础的学科。化学上的许多理论和定律都是从实验中发现归纳出来的。同时,化学理论的应用、评价也有赖于实验的探索和检验。虽然到了近代乃至现代,化学的飞速进步已经产生了各种新的研究方法,但是,实验方法仍然是化学不可缺少的研究手段。新课程改革将科学探究作为突破口,科学探究不但是一种重要的学习方式,同时也是中学化学课程的重要内容,它对发展学生的科学素养具有不可替代的作用。而化学实验是科学探究的重要形式。 用化学实验的方法学习化学,既符合化学的学科特点也符合学生学习化学的认识特点,是化学教学实施素质教育的基本手段。新课程标准提倡学生独立进行或合作开展化学实验研究。通过化学实验能激发学

生的学习兴趣,帮助学生通过使用探究形成化学概念、理解化学基础理论、掌握化学知识和技能,培养学生的科学态度和价值观,帮助学生发展思维能力和训练实验技能,从而达到全面提高学生的科学素养的目的。 一、对新课程标准下的中学化学实验的认识 《普通高中化学课程标准》明确了高中化学课程的基本理念:立足于学生适应现代生活和未来发展的需要,着眼于提高21世纪公民的科学素养,构建“知识与技能”、“过程与方法”、“情感态度与价值观”相融合的高中化学课程目标体系。“知识与技能”即过去的“双基”;“过程与方法”是让学生掌握学习的方法,学会学习;“情感态度与价值观”是人文关怀的体现。所以新的课程理念的核心是“让学生在知识探索的过程中,在知识、学法、人文等方面得到发展。”其中第 5条特别强调:“通过以化学实验为主的多种探究活动,使学生体验科学研究的过程,激发学习化学的兴趣,强化科学探究的意识,促进学习方式的转变,培养学生的创新精神和实践能力。”[1]高中化学课程由2个

相关主题
文本预览
相关文档 最新文档