本科生毕业设计(论文)
开题报告
( 2012 届)
题目:虚拟多功能信号发生器
学生姓名 XXXXXX
学号 XXXXXXXXXXX
专业班级 XXXXXXX
学院名称 XXXXXX
指导教师 XXXXXX
2011年12月22日
《运用信息技术创设情景,提高学生英语口语交际能力》课题开题报告 邵阳市北塔区状元中学英语课题主持人谢兆敏 该课题经邵阳市教育科学规划领导小组审定批准,被列为2009年邵阳市教育科学“十一五”规划立项课题。现根据《邵阳市教育科学规划课题管理办法》的规定,召开开题论证会,并由我代表课题组作开题报告,提出本课题的研究方案,请各位专家和老师进行评议指导,也请课题组成员加以审议。 一、课题的提出与研究的意义 (一)课题的提出 当前,世界各国都在摸索全球化时代的教育的理想模式,其中教学内容与教学方法的多样化是21世纪必须继续解决的课题。二十一世纪是信息化的时代,以信息技术为主要标志的科技进步日新月异,社会生活的信息化和经济活动的全球化,使英语日益成为对外开放和国际交流的工具,随着中国加入WTO,2008年第29届奥运会在北京的召开,国际交往更是日益频繁,社会对英语语言的需求日趋紧迫,学习英语、学好英语已经成为现代人的共识。然而,综观英语教学的现状却不容乐观,“填鸭式”教学依然存在,“哑巴英语”仍到处可见,“应试英语”大有市场,为培养适应时代的人才, 激发和培养学生学习英语的兴趣,引导学生用英语进行口语交际,这就要求我们英语教学无论从教学内容,还是从教学方法及教学要求上,要有不断创
新和发展,而传统的英语教学忽视听说交际能力的培养,因此,改革英语教学是时代的需要,势在必行。 (二)课题研究的意义 我国外语教育学者张正东强调环境是制约外语教学的主要因素,而信息技术为学生创设了良好的语言环境,提供了更多的语言实践和交际的机会,真正体现了英语是一种交际工具的价值。多媒体的组合教学和交互动用,使真实的教学素材丰富多彩、生动形象,现代教育媒体灵活多变的交互功能将改变学生被动的学习过程,而代之以更适合自己的学习方法,更接近自我的兴趣指向,更适宜个人生长与发展的方式途径。本课题的研究,对于深化英语口语交际的课堂教学,对于提高教师个人素质,对于充分调动学生学习积极性和主动性,培养学生的口语交际能力和实践精神,提高英语教学水平和学习效率,对于改革英语教学模式具有十分重要的意义。 二、课题的概念界定 信息技术是指能够支持信息的获取、传递、加工、存储和呈现的一类技术。其中,应用在教育领域中的信息技术主要包括电子音像技术、卫星电视广播技术、多媒体计算机技术、人工智能技术、网络通信技术、仿真技术和虚拟现实技术等。 英语口语交际能力指口头上完成英语语言任务时表现除开的具 体的运动和心智活动方式,它是言语活动中口语的实际“操作”表现。 三、课题研究目标和研究内容 (一)研究目标 英语口语交际能力的培养的目的主要在于引导学生在英语学习
副教授 所在院(系) 部: 武魂学院 专业名称: 工业工程 2013年03月20日 学生姓名 唐山 学号 1 专业 控制系魂师 称: 名: 师: 数控机床的人机工程学设计 唐山 周漪 学号:1 副教授 帆羽
数控机床的人因工程学设计 指导教师 副教授 所在院 (系) 斯莱克学院 课题来源 自拟课题 课题类型 工程技术研究 毕业设计的 内容和意义 课题背景: 数控机床是现代高科技机电产品的一种重要设备 ,正在被广泛地 应用于加工制造业的各个领域,而随着微电子技术和计算机技术的发 展,现代数控机床的应用领域也日益扩大,相应地对提高数控机床效率 的研究也越来越被人们所重视。 数控机床的工作质量不但取决于机器本身的性能和质量 ,还取决 于操作者,也就是取决于该系统中人机系统的功能质量,只有当操作者 与机器的节奏相协调时才能发挥出最高的效率。然而目前在设计数控 机床的时候由于很少从人机工程方面进行考虑 ,对人机系统缺乏必要 的实验和研究,造成机器生产出来投入使用时,才发现由于人机系统不 合理,操作人员不能舒适得使用机床,使得机器的效率不能很好发挥出 来,甚至危及操作者人身安全。最后不得不付出很高的代价 ,拖延大量 【1】 时间对机器进行改造,这种修补又难以使人机关系得到彻底改善 针对这种情况我们从人机工程的角度对机床外观造型进行设计改善。 课题内容: 1.提出:在整个人机系统中机床要由人操作使用来实现其功能, 机床功能的实现很大程度上取决于人使用的好坏,而人机交互的过程 影响着操作者使用机床的状态,因此使用人机工程系统分析对机床造 型进行改善,让机床以易懂、安全、舒适、亲切的方式呈现给使用者, 为使用者创造良好的人机交互环境。在对机床造型进行改善设计时, 根据数控机床的工作功能与操作特点,对其进行人机工程学的系统研 究,分别从数控机床外观造型人机分析、数控机床控制面板人机界面 研究、机床色彩人性化设计研究、机床标牌人性化研究等方面进行研 究,使机床设计在其功能性、操作性的基础上加入人的因素,将人作 为设计的主题,做到以人为本。 课题名称
陕西国防学院电子工程系毕业论文 摘要 本系统以ICL8038集成块为核心器件,制作一种函数信号发生器,制作成本较低。适合学生学习电子技术测量使用。ICL8038是一种具有多种波形输出的精密振荡集成电路,只需要个别的外部元件就能产生从0.001Hz~30KHz的低失真正弦波、三角波、矩形波等脉冲信号。输出波形的频率和占空比还可以由电流或电阻控制。另外由于该芯片具有调制信号输入端,所以可以用来对低频信号进行频率调制。 函数信号发生器根据用途不同,有产生三种或多种波形的函数发生器,其电路中使用的器件可以是分离器件,也可以是集成器件,产生方波、正弦波、三角波的方案有多种,如先产生正弦波,根据周期性的非正弦波与正弦波所呈的某种确定的函数关系,再通过整形电路将正弦波转化为方波,经过积分电路后将其变为三角波。也可以先产生三角波-方波,再将三角波或方波转化为正弦波。随着电子技术的快速发展,新材料新器件层出不穷,开发新款式函数信号发生器,器件的可选择性大幅增加,例如ICL8038就是一种技术上很成熟的可以产生正弦波、方波、三角波的主芯片。所以,可选择的方案多种多样,技术上是可行的。 关键词: ICL8038,波形,原理图,常用接法 1
陕西国防学院电子工程系毕业论文 目录 摘要 (1) 目录 (2) 第一章项目任务 (3) 1.1 项目建 (3) 1.2 项目可行性研究 (3) 第二章方案选择 (4) 2.1 [方案一] (4) 2.2 [方案二] (4) 第三章基本原理 (5) 3.1函数发生器的组成 (6) 3.2 方波发生器 (6) 3.3 三角波发生器 (7) 3.4 正弦波发生器 (9) 第四章稳压电源 (10) 4.1 直流稳压电源设计思路 (10) 4.2 直流稳压电源原理 (11) 4.3设计方法简介 (12) 第五章振荡电路 (15) 5.1 RC振荡器的设计 (15) 第六章功率放大器 (17) 6.1 OTL 功率放大器 (17) 第七章系统工作原理与分析 (19) 7.1 ICL8038芯片简介 (19) 7.2 ICL8038的应用 (19) 7.3 ICL8038原理简介 (19) 7.4 电路分析 (20) 7.5工作原理 (20) 7.6 正弦函数信号的失真度调节 (23) 7.7 ICL8038的典型应用 (24) 致谢 (25) 心得体会 (26) 参考文献 (27) 附录1 (28) 附录2 (29) 附录3 (30) 2
《多功能数字钟电路的设计、制作》 课程设计报告 班级:(兴) 2008级自动化 姓名:胡荣 学号:2008960623 指导教师:刘勇 2010年11月13日
目录 一、设计目的.................................1 二、设计内容及要求...........................1 三、总设计原理...............................1 四、主要元件及设备...........................2 五、单元电路的设计...........................5 1、数字电子计时器组成原理.................5 2、用74LS160实现12进制计数器..............6 3、校时电路...............................7 4、时基电路设计...........................8 六、设计总电路图.............................8 七、设计结果及其分析.........................8 八、设计过程中的问题及解决方案...............9 九、心得体会.................................9 十、附录.....................................10
多功能数字钟电路设计 一、设计目的 通过课程设计要实现以下两个目标:一、初步掌握电子线路的设计、组装及调试方法。即根据设计要求,查阅文献资料,收集、分析类似电路的性能,并通过组装调试等实践活动,使电路达到性能要求;二、课程设计为后续的毕业设计打好基础。毕业设计是系统的工程设计实践,而课程设计的着眼点是让我们开始从理论学习的轨道上逐渐引向实际方面,运用已学过的分析和设计电路的理论知识,逐步掌握工程设计的步骤和方法,同时,课程设计报告的书写,为今后从事技术工作撰写科技报告和技术资料打下基础。 二、设计内容及要求 1、功能要求: ①基本功能: 以数字形式显示时、分、秒的时间,小时计数器的计时要求为“12翻1”,并要求能手动快校时、快校分或慢校时、慢校分。 ②扩展功能: 定时控制,其时间自定;仿广播电台正点报时—自动报正点时数。 2、设计步骤与要求: ①拟定数字钟电路的组成框图,要求先实现电路的基本功能,后扩展功能,使用的器件少,成本低; ②设计各单元电路,并用Multisim软件仿真; ③在通用电路板上安装电路,只要求显示时分; ④测试数字钟系统的逻辑功能; ⑤写出设计报告。设计报告要求:写出详细地设计过程(含数字钟系统的整机逻辑电路图)、调试步骤、测试结果及心得体会。 三、总设计原理 数字电子钟原理是一个具有计时、校时、报时、显示等基本功能的数字钟主要由振荡器、分频器、计数器、译码器、显示器、校时电路、报时电路等七部分组成。石英晶体振荡器产生的信号经过分频器得到秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器译码,并通过显示器显示时间。 四、主要元件及设备 1、给定的主要器件: 74LS00(4片),74LS160(4片)或74LS161(4片),74LS04(2片),74LS20(2片),74LS48(4片),数码管BS202(4只),555(1片),开关(1个),电阻47k(2个)电容10uF(1个)10nF(1个) 各元件引脚图如下图:
信号发生器的设计与制作 系别:机电系专业:应用电子技术届:07届姓名:张海峰 摘要 本系统以AD8951集成块为核心器件,AT89C51集成块为辅助控制器件,制作一种函数信号发生器,制作成本较低。适合学生学习电子技术测量使用。AD9851是AD公司生产的最高时钟为125 MHz、采用先进的CMOS技术的直接频率合成器,主要由可编程DDS系统、高性能模数变换器(DAC)和高速比较器3部分构成,能实现全数字编程控制的频率合成。 关键词AD9851,AT89C51,波形,原理图,常用接法
ABSTRACT 5 The system AD8951 integrated block as the core device, AT89C51 Manifold for auxiliary control devices, production of a function signal generator to produce low cost. Suitable for students to learn the use of electronic technology measurement. AD9851 is a AD produced a maximum clock of 125 MHz, using advanced CMOS technology, the direct frequency synthesizer, mainly by the programmable DDS systems, high-performance module converter (DAC) and high-speed comparator three parts, to achieve full Digital program-controlled frequency synthesizer. Key words AD9851, AT89C51, waveforms, schematics, Common Connection
数字电路设计研讨 --简易矩形波信号发生器 姓名:尹晨洋 学号:13211023 班级:通信1301 同组成员:程永涛 学号:13211007 指导老师:任希
目录 一、综述************************************************************ 1 二、电路元件结构及工作原理***************************** 1 1)、555计数器******************************************************** 1 2)、74ls160同步计数器************************************************ 2 3)、74ls175 4位寄存器************************************************* 4三、频率可调的矩形波发生器***************************** 4 1)、频率可调的矩形波发生器电路图仿真电路图******************************* 4 2)、频率可调的矩形波发生器工作原理分析*********************************** 4 3)、仿真结果分析******************************************************** 5四、可显示频率计数器***************************************** 6 1)、可显示频率计数器仿真电路图******************************************** 6 2)、工作原理分析********************************************************* 6 3)、仿真结果分析********************************************************** 7 4)、实验误差************************************************************** 9 五、总结与体会************************************************** 9 六、参考文献*******************************************************
论文虚拟现实技术
浅谈虚拟现实技术 摘要虚拟现实(Virtual Reality,VR)技术是近年来新兴的借助计算机及最新传感器技术创造的一种崭新的人机交互手段,其核心是建模与仿真。概括介绍了虚拟现实技术的概念、特征及应用领域,涉及的关键技术,最新研究进展,应用与前景展望。 关键词虚拟现实技术,研究现状,相关应用,信息安全 一.虚拟现实的概念、特征及应用领域 虚拟现实是一种由计算机和电子技术创造的新世界,是一个看似真实的模拟环境,通过多种传感设备,用户可根据自身的感觉,使用人的自然技能对虚拟世界中的物体进行考察和操作,参与其中的事件,同时提供视、听、触等直观而自然的实时感知,并使参与者“沉浸”于模拟环境中。虚拟现实(Virtual Reality,VR)技术是指借助计算机及最新传感器技术创造的一种崭新的人机交互手段,其核心是建模与仿真。 虚拟现实技术主要包括模拟环境、感知、自然技能和传感设各等方面。模拟环境是由计算机生成的、实时动态的三维立体逼真图像。感知是指理想的VR应该具有一切人所具有的感知。除计算机图形技术所生成的视觉感知外,还有听觉、触觉、力觉、运动等感知,甚至还包括嗅觉和味觉等,也称为多感知。自然技能是指人的头部转动,眼睛、手势、或其他人体行为动作,由计算机来处理与参与者的动作相适应的数据,并对用户的输入作出实时响应,并分别反馈到用户的五官。传感设备是指三维交互设备。常用的有立体头盔、数据于套、三维鼠标、数据衣等穿戴于用户身上的装置和设置于现实环境中的传感装置,如摄像机、地板压力传感器等。 (虚拟现实技术穿戴的装备)
GrigoreBurdea和Philippe Coiffet在著作“Virtual Reality Technology”一书中指出,虚拟现实具有三个最突出的特征,即人们称道的“3I”特性:交互性(interactivity) 、沉浸感(Illusion of Immersion) 和构想性(imagination)。交互性主要是指参与者通过使用专门输入和输出设备,用人类的自然技能实现对模拟环境的考察与操作的程度。沉浸感是虚拟现实最主要的技术特征,它是指参与者在纯自然的状态下,借助交互设备和自身的感知觉系统,对虚拟环境的投入程度。构想性是指借助虚拟现实技术,使抽象概念具像化的程度。另外还有多感知性(Multi-Sensory)。所谓多感知是指除了一般计算机技术所具有的视觉感知之外,还有听觉感知、力觉感知、触觉感知、运动感知,甚至包括味觉感知、嗅觉感知等。理想的虚拟现实技术应该具有一切人所具有的感知功能,由于相关技术,特别是传感技术的限制,目前虚拟现实技术所具有的感知功能仅限于视觉、听觉、力觉、触觉、运动等几种。 所以,“3I+M”就是虚拟现实系统的基本特征。 自1968年Ivan Sutherland发表一篇名为“The Ultimate Display”的论文至今,虚拟现实技术已经伴随着计算机技术的进步得到长足的发展。如今,众多的设备可被用于虚拟现实,包括头戴式显示器、数据手套、动作捕捉系统等[1]。虚拟现实技术已经在诸如建筑设计、军事仿真、虚拟制造、游戏娱乐、医学等领域得到广泛的应用。在教育、心理学、环保、文化艺术领域,虚拟现实技术也得到越来越多的关注[2]。 二.虚拟现实涉及的关键技术[3] 虚拟现实的关键技术主要包括:动态环境建模技术,实时三维图形生成技术,立体显示和传感器技术,应用系统开发工具,系统集成技术,实时三维计算机图形技术,广角立体显示技术,对观察者头、眼和手的跟踪技术,触觉、力觉反馈技术,立体声、语音输入输出技术。 动态环境建模技术:虚拟环境的建立是VR系统的核心内容,目的就是获取实际环境的三维数据,并根据应用的需要建立相应的虚拟环境模型。 实时三维图形生成技术:三维图形的生成技术已经较为成熟,那么关键就是“实时”生成。为了达到实时的目的,至少保证图形的刷新频率不低于15帧/秒,最好高于30帧/秒。
毕业设计(论文)开题报告
1 选题的背景和意义 1.1 选题的背景 21世纪,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。 时间对人们来说总是那么宝贵,工作的忙碌性和繁杂性容易使人忘记当前的时间。忘记了要做的事情,当事情不是很重要的时候,这种遗忘无伤大雅。但是,一旦重要事情,一时的耽误可能酿成大祸。手表当然是一个好的选择,但是,什么时候到达所需要的时间却难以判断。所以,要制作一个定时系统。随时提醒这些容易忘记时间的人。 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、定时启闭电路、定时开关烘箱、通断动力设备,甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。随着人类科技文明的发展,人们对于时钟的要求在不断地提高。时钟已不仅仅被看成一种用来显示时间的工具,在很多实际应用中它还需要能够实现更多其它的功能。高精度、多功能、小体积、低功耗,是现代时钟发展的趋势。在这种趋势下,时钟的数字化、多功能化已经成为现代时钟生产研究的主导设计方向。 1.2 国内外研究现状及发展趋势 单片机自20世纪70年代问世以来,以其极高的性能价格比,受到人们的重视和关注,应用很广、发展很快。单片机具有体积小、重量轻、抗干扰能力强、环境要求不高、价格低廉、可靠性高、灵活性好、开发较为容易。 目前单片机渗透到我们生活的各个领域,几乎很难找到哪个领域没有单片机的踪迹。电子钟是一种利用数字电路来显示秒、分、时的计时装置,与传统的机械钟相比,它具有走时准确、显示直观、无机械传动装置等优点,因而得到广泛应用。随着人们生活环境的不断改善和美化,在许多场合可以看到数字电子钟。在城市的主要营业场所、车站、码头等公共场所使用lcd数字电子钟已经成为一种时尚。但目前市场上各式各样的lcd数字电子钟大多数用全硬件电路实现,电路结构复杂,功率损耗大等缺点。因此有必要对数字电子钟进行改进。
Internet环境下遥操作机器人系统传输时延研究 一、选题背景及其意义 遥操作就是远距离操作,是在远方人的行为动作远距离作用下,使事物产生运动变化。遥操作是一种基础技术,应用领域相当广泛,如机器人领域、航空航天领域、基础科学试验、核工程、海底与远洋作业等。遥操作技术使移动机器人到达艰险的环境,通过机器人完成特定的任务,从而可以使人远离艰险的工作环境。 基于Internet的遥操作机器人是指将机器人与Internet连接,使人们可以在任何地方通过浏览器访问机器人,实现对机器人的远程监视和控制。它以Internet 为构架,不仅降低了遥操作系统的成本,也使机器人为Internet上越来越多的人们所熟悉和共享。 其中,数据传输是遥操作机器人系统的一个非常重要的组成部分。从通信领域来说,分为无线和有线数据传输。随着Internet的出现及广泛应用,通过Internet进行数据传输,实现远距离遥控机器人越来越成为一个重要研究方向。 基于Internet的遥操作机器人系统,一方面得益于网络传输的显著优势,网络资源廉价、普及范围广、所需硬件少;另一方面,Internet上数据流具有多样性,遥操作机器人系统必需的实时性特点所需要的高优先级必然不能达到。同时,Internet本身固有的特点,由于网络延时和负荷变化所具有的随机性、可变性和不可预测性引起了遥操作控制过程中的随机时延及延迟抖动,遥操作机器人系统的可控性、稳定性及透明度都受到负面影响。在力觉临场感遥操作系统网络传输中的不确定时延往往导致机器人控制信息反馈回遥操作端有一段时间滞后。该滞后与网络当时的性能紧密相关,如拥挤程度、途经路径的长短等等。如果遥操作人员不把网络延时考虑进去,对机器人的当前运动状态无法做出正确的判断,就无法发送正确的遥控命令,控制也将出现偏差,严重的甚至有危险。因此不确定时延是远程遥控机器人研究的技术难点之一。具有临场感效果的遥操作机器人系统应用于太空活动和深海探测等距离遥远的地方,但远地从机器人与本地操作者之间长达几秒到几十秒不等的通信时延却成为影响系统正常工作的突出问题。这不仅降低了系统的临场感效果,使操作者难以实时地、真实地感知远地环境的情况,而且造成了系统的不稳定,尤其是在从机器人与环境发生力的交互作用过程中。具体说来,问题的根源主要集中在网络时延和数据可靠性两大问题上。其中,数据可靠性又与网络时延有着密不可分的关系。 目前,对于遥操作机器人系统网络时延问题应对策略的研究主要集中在控制理论领域,如基于电路网络理论的无源控制法则、基于现代控制理论的控制算法和虚拟现实技术的模型修正法等。其共同的特点是把网络看作一个不可知(黑盒子)和不可控的对象,在控制领域寻找应对方法,以期消除网络时延对遥操作系统中信息、数据传输带来的负面影响。但是,科学地讲,网络时延虽然具有相当显著的不确定性,但它是一个可控、可预测的对象。因而,从网络体系及网络时延本身出发,从遥操作机器人系统与网络的互动需求出发,提出满足遥操作机器人系统需求的时延相关的网络优化和适应性方法,从而与控制领域的研究成果达成互补的效果。在保证系统稳定性的基础上,尽可能地提高系统透明度,满足期望的操作性,达到系统稳定性与透明度的动态平衡性, 即随着系统状态在稳定性和透明度之间找到一个合理的折中,使得系统在稳定的基础上尽可能提高操作性能。通过跨学科的努力,从根本上解决Internet环境下网络时延及时延抖动对遥操作机器人系统的影响和限制,缩短遥操作机器人系统理论与实用化的距离,为遥操作机器人技术提供更加广阔的应用空间。 二、国内外研究动态
课程设计(论文)任务书 电气学院电力系统及其自动化专业12(1 )班 一、课程设计(论文)题目:简易信号发生器设计 二、课程设计(论文)工作自 2015年1 月12 日起至2015 年 1月16 日止。 三、课程设计(论文) 地点:电气学院机房 10-303 四、课程设计(论文)内容要求: 1.课程设计的目的 (1)综合运用单片机原理及应用相关课程的理论知识和实际应用知识,进行单片机应用系统电路及程序设计,从而使这些知识得到进一步的巩固,加深和发展;(2)熟悉和掌握单片机控制系统的设计方法,汇编语言程序设计及proteus 软件的使用; (3)通过查阅图书资料、以及书写课程设计报告可提高综合应用设计能力,培养独立分析问题和解决问题的能力。 2.课程设计的内容及任务 (1)可产生频率可调的正弦波(64个点)、方波、锯齿波或三角波。 (2)显示出仿真波形。 (3)通过按键选择输出波形的种类。 (4)在此基础上使输出波形的幅值可控。
3.课程设计说明书编写要求 (1)设计说明书用A4纸统一规格,论述清晰,字迹端正,应用资料应说明出处。(2)说明书内容应包括(装订次序):题目、目录、正文、设计总结、参考文献等。应阐述整个设计内容,要重点突出,图文并茂,文字通畅。 (3)报告内容应包括方案分析;方案对比;整体设计论述;硬件设计(电路接线,元器件说明,硬件资源分配);软件设计(软件流程,编程思想,程序注释,) 调试结果;收获与体会;附录(设计代码放在附录部分,必须加上合理的注释)(4) 学生签名: 2015年1月16 日 课程设计(论文)评审意见 (1)总体方案的选择是否正确;正确()、较正确()、基本正确()(2)程序仿真能满足基本要求;满足()、较满足()、基本满足()(3)设计功能是否完善;完善()、较完善()、基本完善()(4)元器件选择是否合理;合理()、较合理()、基本合理()(5)动手实践能力;强()、较强()、一般()(6)学习态度;好()、良好()、一般()(7)基础知识掌握程度;好()、良好()、一般()(8)回答问题是否正确;正确()、较正确()、基本正确()、不正确() (9)程序代码是否具有创新性;全部()、部分()、无() (10)书写整洁、条理清楚、格式规范;规范()、较规范()、一般()总评成绩优()、良()、中()、及格()、不及格() 评阅人:
虚拟现实课程学习实践报告 院系:理学院数学系 专业:应用数学 班级:应数1301 学号:131003014 姓名:李媛媛 任课教师:侯筱婷 日期:2016年5月
VRML基础——三维场景建模 一.参考“VRML2.0交互式三维图形编程”等文献资料,回答下列问题。 1)field, exposedField, eventIn, eventOut(P13) 节点有的是用来定义三维形体,有的是用来定义形体的显示特征如颜色,有的是用来产生形体的运动等变化,每一个节点都有一个或者多个参数,这些参数称为字段(field)及事件(event).字段有两种类型:field,exposedField,事件也有两种:eventIn,eventOut,我们把它翻译成事件进和事件出 2)vrml的坐标系统(国际标准规定,P16) VRML文件显示的是三维空间的物体,将其所生产的物体放置在一个符合右手螺旋法则的三维坐标系中,可以将这个坐标系看做是程序的总体坐标系,国际标准规定:物体从+Z轴方向投影在一个+X轴向右、+Y轴向上的二位坐标系统中,+Z轴朝外,人的眼睛及观察点的坐标为(0 0 10)人的眼睛朝原点看去,这是缺省时的观察位置及观察方向。 3)局部坐标系(P16,Transform节点构建局部坐标系P37) 一些VRML程序中的Transform,Group节点可以使多个物体组成一个节点组,节点组可以放置在空间的任意地方,也可以在程序中移动或旋转节点组的坐标,一个大节点组里可以有小节点组,小节点组里可以有小小节点组,每个节点组拥有一个坐标系,称为这个节点组的局部坐标系。Transform是一个重要的组节点,它可以构成一个局部坐标系,利用translation,rotation,scale等字段可以对Transform的字节点中的形体产生移位、旋转、比例放缩等效果。 4)Appearance节点(P22)的三个域material、texture(重点:ImageTexture 节点)、 textureTransform(P109用来实现纹理的几何变换,产生特殊效果纹理,比如贴图的重复和部分显示) Appearance节点可以用来定义形体的外观效果,如材质、贴图。其三个域为material、texture、 textureTransform。可以用在material后面的节点是Material,它定义了物体的材质。可以用在texture后面的节点是ImageTexture,Movietexture,Pixeltexture三个节点,texture在计算机图形学里表示纹理。ImageTexture,Movietexture,Pixeltexture分别表示静止图片纹理、运动影像纹理、像素图纹理。可用在textureTransform后面的节点为TextureTransform,这一节点可以用来进行纹理的几何变换,以产生特殊效果的纹理,还可以使贴图旋转。 5)Extrusion挤出造型节点(P23,扭曲造型怎样实现) 通过Extrusion节点可以生成一个拉伸体,拉伸及诶单形成一个形体,形体由一个断面沿着三维空间里的一条折线段伸展而成,断面在不同位置可以有比例的变化甚至旋转,由此生成我们所需要的有复杂形状的物体。 6)和空间中点相关的节点:Coordinate节点(P23)、PointSet节点(P29-30,用Material节点的emissiveColor来定义点的颜色,模拟夜空中的星星)Coordinate节点只有一个字段:point,表示点的坐标,由于这个字段是exposedField字段,因而可以在程序运行时改变点的坐标。PointSet节点有两个字段,并且是在程序运行时可以改变的字段,PointSet节点主要用于表示夜晚天空的星星,点的大小不能定义,可以定义多个点的坐标和颜色,也可以只定义点的坐标,点的颜色利用Material节点的emissiveColor.
摘要 该实验是利用QuartusII软件设计一个数字钟,进行试验设计和仿真调试,实现了计时,校时,校分,清零,保持和整点报时等多种基本功能,并下载到SmartSOPC实验系统中进行调试和验证。此外还添加了显示星期,闹钟设定,秒表和彩铃等附加功能,使得设计的数字钟的功能更加完善。 关键字:Quartus 数字钟多功能仿真 Abstract This experiment is to design a digital clock which is based on Quartus software and in which many basic functions like time-counting,hour-correcting,minute-correcting,reset,time-hol ding and belling on the hour. And then validated the design on the experimental board.In addition,additional functions like displaying and reseting the week,setting alarm ,stopwatch, and belling with music make this digital clock a perfect one. Key words: Quartus digital-clock multi-function simulate
目录 一.设计要求说明 (4) 二.工作原理 (4) 三.各模块说明 (5) 1)分频模块 (5) 2)计时模块 (8) 3)动态显示模块 (10) 4)校分与校时模块 (11) 5)清零模块 (12) 6)保持模块 (12) 7)报时模块 (12) 四.扩展模块 (13) 1)星期模块 (13) 五.调试、编程下载 (14) 六.实验中出现问题及解决办法 (14) 七.实验收获与感受 (15) 八.参考文献 (16)
信息技术小课题开题报告 高兰眉山外国语学校 一.课题的背景与意义 孔子曰:“知之者不如好之者,好之者不如乐之者。”现在的学生,既要求国外学生的自由,又要中国式的宠爱,可是他们中的大部分,既没有国外学生的独立,又缺乏中国传统的尊师重教,所以,教会他们如何尊重学习、学会学习迫在眉睫。 刚上初中的孩子,来到眉外,开始了他们人生中第一次远离家乡,对知识的朝圣。在仍对寄宿生活懵懵懂懂的摸索中,大面积的学科知识扑面而来,像深秋校园里金黄的银杏,风不知所起,遗世独立,他们表现得兴奋,感觉到刺激,但是随之而来的是深深的无助与迷茫。学科太多,符号太多,要掌握的知识更多,可是学习方法却逼近于无。在多重压力的抨击下,很多学生开始偏科,甚至厌学。这样的警钟无疑是给了老师当头一棒。在各种大背景下,信息技术教学举步维艰。学生对信息技术一知半解,对应用软件更是娱乐为主。于是塑造一个积极向上的课堂氛围,如何利用学生对信息技术的敏感度帮助他们快乐学习成了当务之急。 而且由于我校学生的信息技术操作技能和信息素养参差不齐,这给初中信息技术的教学工作带来了很多的教学难题。比如,教师要在每节课中穿插讲授一些学生本来应该在小学阶段学过的知识,无形中增大了课堂教学容量;同时,教师考虑到要照顾这部分学生的接受能力,需要放慢教学进度,这都与教学课时本来不够产生了严重的冲突。诸如此类的问题还有很多,这将给初中信息技术教学工作带来了很多的现实问题,甚至是教学难题。结合学生实际情况和学校教学条件,如何进行愉快的课堂教学,切实提高教学实效,是我们关注信息技术教学工作的重点问题。 二.课题的名称:关于信息技术课愉快教学法的探讨 三.课题的指导思想 “愉快教学法”是一种情景教学方法,它要求教师要为学生创设一个轻松、愉快的学习情景,让学生感受到知识的奇妙,产生强烈的求知欲,从而乐学、好学,并从中体味到知识的快乐与成就感。在素质教育的新形势下,借鉴和运用“愉快
苏州科技学院 毕业设计开题报告 设计题目任意信号发生器的硬件设计(基于89C51实现)院系电子与信息工程学院 专业电子信息工程 班级电子0911 学生姓名XXXXXXX 学号 设计地点 指导教师 2013 年3月31 日
设计题目:任意信号发生器的硬件设计(基于89C51实现)课题目的、意义及相关研究动态: 一、课题目的: 信号发生器是一种能产生模拟电压波形的设备,这些波形能够校验电子电路的设计。信号发生器广泛用于电子电路、自动控制系统和教学实验等领域,它是一种可以产生正弦波,方波,三角波等函数波形的一起,其频率范围约为几毫赫到几十兆赫,在工业生产和科研中利用信号发生器输出的信号,可以对元器件的性能鉴定,在多数电路传递网络中,电容与电感组合电路,电容与电阻组合电路及信号调制器的频率,相位的检测中都可以得到广泛的应用。因此,研究信号发生器也是一个很重要的发展方向。 常用的信号发生器绝大部分是由模拟电路构成的,但这种模拟信号发生器用于低频信号输出往往需要的RC值很大,这样不但参数准确度难以保证,而且体积和功耗都很大,而本课题设计的函数信号发生器,由单片机构成具有结构简单,价格便宜等特点将成为数字量信号发生器的发展趋势。 本课题采用的是以89c51为核心,结合 DAC0832实现程控一般波形的低频信号输出,他的一些主要技术特性基本瞒住一般使用的需要,并且它具有功能丰富,性能稳定,价格便宜,操作方便等特点,具有一定的推广作用。 二、课题意义: (1)任意信号发生器主要在实验中用于信号源,是电子电路等各种实验必不可少的实验设备之一,掌握任意信号发生器的工作原理至关重要。 (2)任意信号发生器能产生某些特定的周期性时间任意波形(正波、方波、三角波)信号,频率范围可从几个微赫到几十兆赫任意信号发生器在电路实验和设备检测中具有十分广泛的用途。 (3)本课题主要研究开发一个基于51单片机的实验用任意信号发生器,不但成本较低而精度较高,最重要的是开发简单易于调试,具有一定社会价值和经济价值。 (4)任意信号发生器作为一种常见的电子仪器设备,既能够构成独立的信号源,也可以是高新能的网络分析仪,频谱仪以及自动测试装备的组成部分,任意信号发生器的关键技术是多种高性能仪器的支撑技术,因为它是能够提高质量的精密信号源及扫描源,可使相应系统的检测过程大大简化,降低检测费用并且提高检测精度。
信号发生器 F组 组长:*** 组员:***、*** 2013年8月12日星期一
1系统方案 (4) 1.1系统方案论证与选择 (4) 1.2方案描述 (4) 2理论分析与计算 (5) 3电路与程序设计 (6) 3.1电路的设计 (6) 3.1.1 ICL8038模块电路 (6) 3.1.2 放大电路 (6) 3.2程序的设计 (7) 4测试方案与测试结果 (9) 4.1测试仪器与结果 (9) 4.2调试出现的问题及解决方案 (9) 5 小结 (10)
本系统设计的是信号发生器,是以 ICL8038和 STC89C51为核心设计的数控及扫频函数信号发生器。ICL8038作为函数信号源结合外围电路产生占空比和频率可调的正弦波、方波、三角波;该函数信号发生器的频率可调范围1~100kHz,波形稳定,无明显失真。单片机控制LCD12864液晶显示频率、频段和波形名称。 关键字:信号发生器ICL8038、 STC89C51、波形、LCD12864
信号发生器实验报告 1系统方案 1.1系统方案论证与选择 方案一:由单片机内部产生波形,经DAC0832输出,然后再经过uA741放大信号后,最后经过CD4046和CD4518组成的锁相环放大频率输出波形,可是输出的波形频率太低,达不到设计要求。 方案二:采用单片机对信号发生器MAX038芯片进行程序控制的函数发生器,该发生器有正弦波、三角波和方波信号三种波形,输出信号频率在0.1Hz~100MHz 范围内。MAX038为核心构成硬件电路能自动地反馈控制输出频率,通过按键选择波形,调节频率,可是MAX038芯片价格太高,过于昂贵。 方案三:利用芯片ICL8038产生正弦波、方波和三角波三种波形,根据电阻和电容的不同可以调节波形的频率和占空比,产生的波形频率足够大,能达到设计要求,而且ICL8038价格比较便宜,设计起来成本较低。 综上所述,所以选择第三个方案来设计信号发生器。 1.2方案描述 本次设计方案是由ICL8038 芯片和外围电路产生三种波形,由公式: ,改变电阻和电容的大小可以改变波形的频率,有开关控制频段和波形并给单片机一个信号,由单片机识别并在LCD液晶屏上显示,电路的系统法案框图为下图1所示: 图1 总系统框图
本科毕业设计(论文) 题目:虚拟现实技术在自动变速器 3D仿真的应用
毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:
学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日
EDA技术课程设计 多功能数字钟 学院:城市学院 专业、班级: 姓名: 指导老师: 2015年12月
目录 1、设计任务与要求 (2) 2、总体框图 (2) 3、选择器件 (2) 4、功能模块 (3) (1)时钟记数模块 (3) (2)整点报时驱动信号产生模块 (6) (3)八段共阴扫描数码管的片选驱动信号输出模块 (7) (4)驱动八段字形译码输出模块 (8) (5)高3位数和低4位数并置输出模块 (9) 5、总体设计电路图 (10) (1)仿真图 (10) (2)电路图 (10) 6、设计心得体会 (11)
一、设计任务与要求 1、具有时、分、秒记数显示功能,以24小时循环计时。 2、要求数字钟具有清零、调节小时、分钟功能。 3、具有整点报时,整点报时的同时输出喇叭有音乐响起。 二、总体框图 多功能数字钟总体框图如下图所示。它由时钟记数模块(包括hour、minute、second 三个小模块)、驱动8位八段共阴扫描数码管的片选驱动信号输出模块(seltime)、驱动八段字形译码输出模块(deled)、整点报时驱动信号产生模块(alart)。 系统总体框图 三、选择器件 网络线若干、共阴八段数码管4个、蜂鸣器、hour(24进制记数器)、minute(60进制记数器)、second(60进制记数器)、alert(整点报时驱动信号产生模块)、 seltime(驱动4位八段共阴扫描数码管的片选 驱动信号输出模块)、deled(驱动八段字形译 码输出模块)。
四、功能模块 多功能数字钟中的时钟记数模块、驱动8位八段共阴扫描数码管的片选驱动信号输出模块、驱动八段字形译码输出模块、整点报时驱动信号产生模块。 (1) 时钟记数模块: <1.1>该模块的功能是:在时钟信号(CLK)的作用下可以生成波形;在清零信号(RESET)作用下,即可清零。 VHDL程序如下: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity hour24 is port( clk: in std_logic; reset:instd_logic; qh:BUFFER STD_LOGIC_VECTOR(2 DOWNTO 0); ql:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0)); end hour24; architecture behav of hour24 is begin process(reset,clk) begin if reset='1' then qh<="000"; ql<="0000"; elsif(clk'event and clk='1') then if (qh<2) then if (ql=9) then ql<="0000"; qh<=qh + 1; else ql<=ql+1; end if; else if (ql=3) then ql<="0000"; qh<="000"; else ql<=ql+1; end if; end if; end if; end process; end behav; 仿真波形如下: