当前位置:文档之家› 计算机组成原理8位模型机系统逻辑功能设计

计算机组成原理8位模型机系统逻辑功能设计

计算机组成原理8位模型机系统逻辑功能设计
计算机组成原理8位模型机系统逻辑功能设计

计算机组成原理8位模型机系统逻辑功能设计

摘要:辅导答疑作为教育活动中的一个必不可少的环节,也是网络课程中的一个重要组成部分。网络课程的建设使学生在任何时间任何地点都可以上网参加学习,但由此产生的问题是教师不可能及时解答学生学习过程中遇到的问题,因此需要建立一个方便而高效的在线答疑系统。

本系统采用B/S结构,利用MyEclipse、Tomcat和Microsoft SQL Server2000,使用MVC设计模式实现了系统功能,方便学生根据课程提出问题,学生之间可共享彼此的问题而进行交流;教师可以根据学生提出的普遍问题,及时调整教学内容和进度、改进教学方式,从而改善教学的效果;管理员可对教师、学生,课程以及问题进行管理。

本系统的应用使辅导答疑不受时间和地点的限制,从而提高学习者的学习积极性和学习效率。学生在学习过程中产生的问题以及教师的解答,经过一定时间的积累还能够成为可重复利用的宝贵资源。

关键字:辅导答疑;模型-视图-控制器;持久层;问题共享;资源管理

Design and Implementation of Network Course Remote Guidance and Answer System

Abstract: Guidance and Answer is an essential link in education activities as well as an important component of network course. The creativity of network course make students participate in online learning at any time and any place, but the resulting problem is that teachers can not answer the problems encountered by learners in the process in time. As a result, we need to build a convenient and efficient online answering system.

The system is based on B/S structure. The function of the system is developed with MyEclipse, Tomcat, Microsoft SQL Server 2000 and MVC designing pattern. With this system, students could raise questions, share each other’s problems and cooperative learning; Teachers could arrange content and schedule of education,change teaching method to improve effect of education immediately through these general problems of students.Admin could manage students, teachers, course and problems.

This system enables learners to communicate with each other easily without geographical and time constraints. Therefore, it can improve learners’ enthusiasm and efficiency on study.After a certain period of time the accumulation,the problems raised by students and the answers replayed from the teachers can also be precious reused resource.

Key words: Guidance and Answer, MVC, Persistent layer,Sharing problems,Resources management

目录

第1章绪论 (1)

1.1本课题发展概述 (1)

1.2本课题的目的和意义 (1)

1.3本课题所需技术要求 (2)

1.4本课题发展现状 (2)

1.5指导思想及主要解决问题 (3)

第2章辅导答疑系统需求分析与设计 (4)

2.1系统主要实现的目标 (4)

2.2系统基本设计思想 (4)

2.2系统功能需求分析 (5)

2.2.1系统功能描述 (5)

2.2.2数据流程分析 (5)

2.2.3系统实体关系分析 (7)

2.2.4系统数据库设计[16] (7)

2.3系统可行性分析与环境要求 (9)

2.3.1 可行性分析 (9)

2.3.2系统环境要求 (10)

第3章辅导答疑系统总体设计方案 (11)

3.1系统体系结构设计 (11)

3.1.1 客户端层设计 (11)

3.1.2 中间层设计 (12)

3.1.3 数据层设计 (12)

3.2功能模块设计 (12)

3.2.1学生模块主要功能 (13)

3.2.2 教师模块主要功能 (13)

3.2.3管理员模块主要功能 (14)

3.3实现系统方案的关键技术 (14)

3.3.1 Java技术简介 (14)

3.3.2 JSP技术简介 (14)

第4章辅导答疑系统详细设计与实现 (17)

4.1系统架构的设计 (17)

4.1.1常量层设计 (17)

4.1.2系统资源层设计 (17)

4.1.3系统WEB层设计 (17)

4.1.4系统业务逻辑层设计 (17)

4.1.5系统持久层设计 (18)

4.2 MVC+DAO实现模块主要功能 (18)

4.2.1 MVC框架 (18)

4.2.2 MVC整体结构 (18)

4.2.3页面控制器 (19)

4.2.4视图 (20)

4.2.5模型 (21)

4.3管理员模块的实现 (21)

4.3.1 Login.jsp登录页面 (21)

4.3.2 LoginController登录控制器 (23)

4.3.3 AdminDao管理逻辑 (23)

4.3.4 AdminDaoImpl管理业务的实现 (24)

4.3.5 DaoFactory静态工厂 (31)

4.3.6 AdminVO对象 (32)

4.3.7 Dbconnector数据库联接 (32)

4.3.8 管理员登录截图 (35)

第5章辅导答疑系统测试与评价 (36)

5.1测试环境 (36)

5.1.1 系统软件 (36)

5.1.2 系统硬件 (36)

5.2测试方案 (36)

5.2.1管理员模块测试 (36)

5.2.2教师模块测试 (37)

5.2.3学生模块测试 (37)

5.3部分功能测试结果图 (37)

5.4 系统评价 (39)

结论 (40)

致谢 (41)

参考文献 (42)

第1章绪论

1.1本课题发展概述

网络技术对远程教育产生的深刻影响,直接表现为提供给学习者大量便捷的机会,学习者可以根据自己的实际情况,灵活调整自己的学习进度、学习计划及学习内容,从而真正体现“以学生中心”的现代教育思想。网络课程可以按学习者的思维方式组织教学内容,教学效果则由教师和学习者共同评价。使传统的教学由单向转为双向,实现了远程教学中师生之间,学生与学生之间的双向交流,由大众化教育趋向个性化教育[1]。远程教育教学环境的完善,以及高质、高效、满足个人需要的网络课程设计和素材库的建设,无疑对现代远程教育体系发展至为重要。依靠现代通信技术及计算机技术的发展的网络课程教学体系,大幅度拓宽了传统教育意义上学习者的范畴,教育实施过程不受时间、地点、国界、气候等影响,网络上广泛的教学资源极大地丰富了课程教学内容,真正打破了明显的校园界限,改变了传统“课堂”的概念,学生能突破时空限制,接受到来自不同国家、不同学校、不同教师的指导。可获得更丰富、直观的多媒体信息,共享全世界各图书馆的资料[3]。总之,网络技术的发展为现代远程教育提供了强大的技术支持,使现代远程教育成为信息时代人们教育和学习的最佳方式之一。

1.2本课题的目的和意义

大量网络课程的开发建设使网络教学得到了迅速的发展,答疑、解惑作为教育活动中的一个必不可少的环节,也是网络课程中的一个重要组成部分。网络课程的建设使学生在任何时间任何地点都可以上网参加学习,但由此产生的问题是教师不可能及时解答学生学习过程中遇到的问题,因此需要建立一个方便而高效的在线答疑系统。有了这样的系统,传统教学中的辅导答疑也可以放在网上进行,不受时间和地点的限制。教师可以根据学生提出的普遍问题,及时调整教学内容和进度、改进教学方式,从而改善教学的效果。学生在学习过程中产生的问题以及教师的解答,经过一定时间的积累还能够成为可重复利用的宝贵资源。

1.3本课题所需技术要求

在线答疑系统的基本结构一般采用较为流行的浏览器/服务器(B/S)模式,服务器端采用Windows XP系统,以JSP进行页面的信息及操作收集,JAVA为服务器端处理程序,采用Microsoft SQL SERVER 2000对数据进行存储,使用MVC Model2设计模式作为架构来进行编码实现。通过JSP程序将消息传送给服务器,服务器与数据库建立连接进行相应的处理,经传递机制将结果传回客户端,再以Web页面的形式显示出来。

1.4本课题发展现状

随着网络技术的发展及其在教育中的应用,教育将从内容、形式、方法和组织等方面发生根本性的变革。进入90年代以来,多媒体和计算机网络逐渐被引入教学过程,成为现代教育的技术基础。实施以校园网为基础的教育信息化工程,研究现代信息技术对教育思想、教育管理、教育内容、教育方法、教育手段和教育过程的作用和影响,探索构建现代教育技术环境下的新型教学模式,已成为新时期教育所面临的新课题[5]。网上答疑系统以计算机和校园信息网络为技术基础,把计算机和网络的操作应用能力纳入每个学生必备的基本素质之中,使学生在应用计算机进行学习的过程中,以计算机对于人的自主性、能动性、创造性所具有的延伸意义,同化、顺应到自身的认知结构之中,逐步形成基于计算机文化的智能知识结构,从而推进学生整体素质的提高。

基于Internet的网络教育环境中,广大受教育者可以根据自己的学习要求,在不受任何时间和空间的约束下,共享网络学习资源,实现传统教育无法做到的“个性化教育”。但网上自主学习也存在不利的一面,没有了教师面对面的解释和演绎,学生经常会遇到各种疑问,因此,及时消除学生学习障碍的辅导答疑系统成为了网络教育平台的重要组成部分[17]。

目前国内具有代表性的网上辅导答疑系统主要有以下几种[3]:

基于E-mail和系统留言板的答疑系统。这种方式尽管方便快捷,但其实效性完全依赖教师的及时回复,若教师耽搁将会使解答失去应有的价值。因此说该系统只是一种初级而简单的答疑方式,远远没有把远程教育的潜力发挥出来。我国目前的网上辅导答疑系统多采用这种方式,且大部分是面向中小学的远程教育系统。

基于Web BBS, 讨论方式的答疑系统。学习者可以提出问题供大家讨论,也可以在教师的主持下进行Web实时聊天讨论。属于这类系统的有清华大学远程教育系统和湖南大学多媒体信息教育学院的远程教育系统。基于Answer web的自动答疑系统。该系统是一个动态的问题及答案数据库,用户可以通过输入关键词在系统资源库中查找相关材料,解决疑问。此类系统的典型代表是上海交通大学的远程教育系统。

1.5指导思想及主要解决问题

尽量采用现有软硬件环境,及先进的管理系统开发方案,提高系统开发水平和应用效果的目的;系统应符合教学管理的规定,满足日常管理的需要,并达到操作过程中的直观、方便、实用、安全等要求;系统采用模块化程序设计方法,这样既便于系统功能的各种组合,又便于未参与开发的技术维护人员补充、维护;系统应具备数据库维护功能,及时根据用户需求进行数据的添加、删除、修改等操作。

第2章辅导答疑系统需求分析与设计

2.1系统主要实现的目标

有了这样的辅导答疑系统,传统教学中的辅导答疑也可以放在网上进行,不受时间和地点的限制。教师可以根据学生提出的普遍问题,及时调整教学内容和进度、改进教学方式,从而改善教学的效果。学生在学习过程中产生的问题以及教师的解答,经过一定时间的积累还能够成为可重复利用的宝贵资源[6]。

具体实现目标如下:

(1)满足在Internet上运行的条件,并具备安全、稳定、下载快的特点。

(2)充分利用计算机的表现力,方便学习者学习,提高学习者的学习兴趣和自觉性。

(3)符合学习者的认知规律,在疑难关键知识点上提供多种形式和多层次的学习内容。

(4)交互性强,学习者可以根据自己的爱好或需要,对学习内容(如范例的参数等)进行修改,或改变知识点的学习路线。

(5)提供课程答疑系统、讨论系统。

2.2系统基本设计思想

整个软件生命周期中,开发所占的费用和时间都很小。后期维护工作一般要占整个软件生命周期的80%以上,所以系统分析很重要,一个好的系统分析可以减少很多后期维护工作。

远程答疑系统设计的典型用户有3类:一是使用系统来解答自己疑问的普通用户(学生),二是答疑系统所涉及领域的教师用户,三是系统维护管理人员。因此从用户的角度出发,远程答疑系统从总体上分为 3 大模块:学生模块、教师模块和管理员模块。分别完成提问、解答及版本管理等功能。使用者按照不同的身份登录系统即可进入相应的模块[12]。

在开发方式上对系统的需求,由于本系统是一种重点针对学生的提问进行辅导答疑而开发的,所以系统设计开发的周期要短,在短时间内完成,减少开发成本,提高开发效率,方便、简单、实用作为系统开发的指导思想。

2.2系统功能需求分析

2.2.1系统功能描述

本系统共4部分,网络课程远程辅导答疑系统得主要功能描述如下[3]

1)用户登录模块:用户(学生和教师) 和管理员在该模块进行登录。

2)教师模块:教师用户通过登录模块登录后,该模块可以完成对自己提出问题的查看、查询、解答、修改、删除等操作。并可以进行公告发布管理,修改基本信息和密码。使用退出系统功能,将注销用户,转至首页模块。

3)学生模块:学生用户通过登录模块登录后,该模块学生可以完成向老师提出问题以及查询、修改、删除提问操作,同时可以修改基本信息和密码。使用退出系统功能,将注销用户,转至首页模块。

4)管理员模块:管理员通过登录模块登录后,在该模块管理员可以进行问题查询、删除操作,进行用户添加、修改、删除操作(包括添加学生,教师和管理员) 。同时管理员可以修改自己的基本信息和密码。使用退出系统功能,将注销用户,转至首页模块。

2.2.2数据流程分析

数据流图(Data Flow Diagram)简称DFD,它是一种描述“分解”的图示工具。它用直观的图形清晰地描绘了系统的逻辑模型,图中没有任何具体的物理元素,只是描述数据在系统中的流动和处理的情况。本系统数据流图如图2-1。

图2-1(a)管理员数据流图

图2-1(b)学生数据流图

图2-1(c)教师数据流图

2.2.3系统实体关系分析

经过对系统的分析,得到下面的E-R图。

图2-2实体关系图

2.2.4系统数据库设计[16]

1、学生信息表

学生信息表记录了学生的相关信息,里面主要包含学生的编号,学生名称,学生性别等学生基本信息。如表2-1所示:

表2-1 student(学生信息表)

2、教师信息表

教师信息表包含了教师的相关信息,里面的内容主要包括教师的编号,教师的姓

名等教师的基本信息。如表2-2所示:

表2-2 teacher(教师信息表)

3、课程信息表

课程信息表记录了课程的相关信息,里面的内容主要包括课程的编号,课程的名称等课程的基本信息。如表2-3所示:

表2-3 course(课程信息表)

4、问题信息表

问题信息表记录了问题的相关信息,包括了问题的编号,问题的主题、内容的相关的基本信息。如表2-4所示:

表2-4 note(课程信息表)

5、管理员信息表

管理员信息表主要包括了管理员的编号和密码。如表2-5:

表2-5 (管理员信息表)

2.3系统可行性分析与环境要求

2.3.1 可行性分析

本系统是在My Eclipse 平台上制作,并使用Microsoft SQL SERVER 2000作为数据库管理系统的辅导答疑系统。在计算机高度普及的当前社会状况下,这个系统的可行性主要体现在以下的几个方面之上:

1、技术可行性

随着WEB 技术的成熟,网络带宽的不断增加,采用浏览器/ 服务器(Browse/ Server)网

络结构建立网上答疑系统完全成为可能。采用这种B/ S结构,客户端的运行模式、主

要的命令执行、数据计算都在服务器完成,应用程序在服务器上安装,客户机不用

安装应用程序,所有操作可通过浏览器来完成。JAVA语言是一种面向对象、易移植、多线程控制的语言,Java程序是独立于平台的,它被编译成一种字节码序列,并将被一种Java解释器翻译。被翻译后的Java程序就可以在具有Java解释器的任意

系统下运行,而不需营重新编译。这种能力使Java成为在Internet上编程的一种理想语言。共同网络有许多不同的系统,创建能够在所有系统下运行的程序是非常困难的。程序将不被限定在一种平台下运行,可在Java已经移植到的任意平台上运行。

2、经济可行性

由于使用MVC模式以及高效的数据库管理系统,系统的研发周期大大缩短,对计算机系统资源的占用也相对减少,并且便易维护,修改,扩展使得本系统在成本方面,具有很大的优势与竞争能力。

3、操作可行性

在操作方面,由于是用户交互界面设计,又在Windows操作系统上运行,使得操作相对简易。只要初步学习过计算机操作的相关人员都可以胜任,不存在专业培训等,又在经济性上有了体现。

2.3.2系统环境要求

服务器端:

平台:Microsoft Windows XP SP2

数据库:Adaptive Server Anywhere 8.0

内存:512MB建议1G

应用:128MB

硬盘存储空间:1GB,建议4GB

基于使用的情况,对增加的数据需要扩大硬盘空间。

客户机端:

平台:Microsoft Windows 2000/xp

内存:256MB,建议512MB

第3章辅导答疑系统总体设计方案

3.1系统体系结构设计

采用J2EE 三层架构实现远程答疑系统的系统结构如图3-1所示。基于J2EE三层架构应用模型同传统的C/S模型相比,提高了系统的可扩展性、安全性和可重用性。它将应用逻辑与用户界面和数据访问相剥离,这样便使系统的维护变得简单,同时可以通过采用组件技术,降低数据库服务器的负担,从而提高系统的性能[4]。

图3-1基于J2EE的三层结构

如图3-1所示,学生、教师和管理员均处于客户端层,它仅仅提供了一个用户界面,用于同用户交互并将用户请求传递到位于中间层的组件之上。用户使用浏览器通过Internet,连接至Web Server。Web Server和应用服务器均处于中间层,Web Server接受客户端层提交的用户请求后,调用应用服务器的相关服务模块,执行具体的事物逻辑,向位于第三层(企业信息系统层) 的数据库服务器提出数据请求,如问题的提交、解答等,并将结果返回至Web Server,Web Server 再将其传送给用户[2]。

3.1.1 客户端层设计

客户层在系统中是Web浏览器。采用J2EE分布式的客户端技术实现。它完成负责处理用户与应用程序交互以及显示页面。系统可以采用Web浏览器和普通应用程序两种方式接入。在J2EE的环境中,这部分可以用JSP或者Java Servlet技术实现,它们运行在Web容器中,通过Web容器响应客户端的请求[9]。

3.1.2 中间层设计

在这层中将利用J2EE的组件和容器技术构建远程答疑系统的各部分功能,它是应用程序服务器,主要由3部分组成[8]:

(1)Web容器(Web Container):这是中间层的重要部分,所有的用户界面都在这里得到体现。考虑到JSP能够嵌入HTML中,开发容易而且产生的用户界面灵活等优点,故系统可以采用JSP实现用户界面。其主要产生的用户界面包括用户登录和验证界面以及用户学习界面、用户信息反馈界面等。

(2)EJB容器(EJB Container):EJB容器主要管理J2EE应用程序的EJB 的执行。EJB 负责直接从客户端应用程序或者从Web容器接收数据,对它们进行必要的转换,并将其发送到数据层进行存储和处理。EJB 也可以从数据层获取数据并进行处理后发送到客户端。系统的大部分事务逻辑和规则都在这里实现。这些EJB 组件主要实现的事务逻辑包括用户的验证和登记、关键字提取、答疑库查询等。

(3) 数据库连接:EJB Container 的实体EJB 是通过数据库连接和数据库管理系统交互信息的,对于不同的数据库系统,其访问形式是不同的,但是通过标准JDBC-ODBC连接接口来访问数据库,可使需要得到的数据库服务程序存取异质数据库,以使系统具有很强的扩展性。

3.1.3 数据层设计

数据层是数据库管理系统(DBMS),负责数据的存储管理、安全性管理和完整性管理,系统使用的数据库服务器是Microsoft SQL SERVER 200,存储答疑库、学生信息、教师信息、系统信息等。

3.2功能模块设计

远程答疑系统设计的典型用户有3类:一是使用系统来解答自己疑问的普通用户(学生),二是答疑系统所涉及领域的教师用户,三是系统维护管理人员。因此从用户的角度出发,远程答疑系统从总体上分为3大模块:学生模块、教师模块和管理员模块。分别完成提问、解答及版本管理等功能。使用者按照不同的身份登录系统即可进入相应的模块[11]。如图3-2所示

图3-2系统功能模块

3.2.1学生模块主要功能

学生模块主要包括以下几个功能:

①个人信息管理:包括注册信息、口令更改等。

②查看自己问题及解答情况,便于学生及时得到教师的反馈信息。

③查看所有问题:从别人的提问中学到更多的知识,查询方式分为完全匹配和模糊匹配两种,查询结果以列表方式列出匹配的问题。同时学生可以完成向老师提出问题以及查询、修改、删除提问操作。

3.2.2 教师模块主要功能

教师模块主要包括以下几个功能:

①个人信息管理:包括注册信息、口令更改等。

②查看所有问题,以便对没有回答的问题及时的给予答复。

③统计分析:对问题的讨论情况及答案的访问情况做出统计,便于教师对学生掌握知识的程度地进一步了解,有利于提高教学质量。

④回答学生问题:教师模块最基本的功能,用户通过登录模块登录后,该模块可以完成对自己提出问题的查看、查询、解答、修改、删除等同时还可以对问题的答案作进一步的补充和完善。

3.2.3管理员模块主要功能

系统管理员不参加课程的讨论,但是享有系统的最高权限,维护系统的正常运行。主要包括以下几个功能:

①用户管理:对注册用户的信息管理。

②系统管理:包括界面维护、公告信息管理,负责与其它网校间的连接等。

③数据管理:维护系统数据信息,包括对问题库的维护、更新,如果发现有与问题讨论无关的信息可将其删除,提高系统的运行效率。

3.3实现系统方案的关键技术

3.3.1 Java技术简介

随着WEB技术的成熟,网络带宽的不断增加,采用浏览器/服务器(Browse/Server)网络结构建立网上答疑系统完全成为可能。采用这种B/S结构,客户端的运行模式、主要的命令执行、数据计算都在服务器完成,应用程序在服务器上安装,客户机不用安装应用程序,所有操作可通过浏览器来完成。JAVA语言是一种面向对象、易移植、多线程控制的语言,Java程序是独立于平台的,它被编译成一种字节码序列,并将被一种Java解释器翻译。被翻译后的Java程序就可以在具有Java解释器的任意系统下运行,而不需要重新编译。这种能力使Java成为在Internet上编程的一种理想语言。共同网络许多不同的系统,创建能够在所有系统下运行的是非常困难的。程序将不被限定在一种平台下运行,可在Java已经移植到的任意平台上运行[15]。

3.3.2 JSP技术简介

JSP是一种直接嵌入HTML的服务器端的动态脚本技术,它的工作方式与ASP 和PHP 相似。当用户请求一个JSP 页面时,Web 服务器将该文件交给JSP引擎执行并将结果送回客户浏览器。但是,JSP 采用了完全不同的运行方式:编译运行。

为了快速方便地进行动态网站的开发,JSP在以下方面做了改进,使其成为快速建立跨平台的动态网站的首选方案[16]。

(1) 将内容的生成和显示进行分离:用JSP 技术,我们开发Web页面可以使用

HTML或者XML标识来设计和格式化最终页面,并使用JSP标识或者小脚本来生成页面上的动态内容(内容是根据请求变化的,例如请求课程信息或者特定的习题的答案等)。生成内容的逻辑被封装在标识和JavaBeans组件中,并且捆绑在脚本中,所有的脚本在服务器端运行。由于核心逻辑被封装在标识和JavaBeans中,所以Web管理人员和页面设计者,能够编辑和使用JSP页面,而不影响内容的生成。在服务器端,JSP 引擎解释JSP标识和脚本,生成所请求的内容(例如,通过访问JavaBeans组件,使用JDBC技术访问数据库或者包含文件),并且将结果以HTML(或者XML)页面的形式发送回浏览器。这既有助于作者保护自己的代码,又能保证任何基于HTML的Web 浏览器的完全可用性。

(2)可重用组件:绝大多数JSP页面依赖于可重用的、跨平台的组件(JavaBeans或者Enterprise JavaBeans组件)来执行应用程序所要求的复杂的处理。我们能够共享和交换执行普通操作的组件,或者使得这些组件为更多的使用者和客户团体所使用。基于组件的方法加速了总体开发过程,并且使得各种组织在他们现有的技能和优化结果的开发努力中得到平衡。

(3)采用标识:JSP技术封装了许多功能,这些功能是在易用的、与JSP相关的XML 标识中进行动态内容生成所需要的。标准的JSP 标识能够访问和实例化JavaBeans组件,设置或者检索组件属性,下载Applet,以及执行用其它方法更难于编码和耗时的功能。

(4)适应平台:几乎所有平台都支持Java,JSP+JavaBeans几乎可以在所有平台下通行无阻。从一个平台移植到另外一个平台,JSP和JavaBeans 甚至不用重新编译,因为Java 字节码都是标准的与平台无关的。

(5) 数据库连接:Java中连接数据库的技术是JDBC,Java程序通过JDBC驱动程序与数据库相连,执行查询、提取数据等操作。Sun公司还开发了JDBC-ODBC bridge,利用此技术Java程序可以访问带有ODBC驱动程序的数据库,目前大多数数据库系统都带有ODBC驱动程序,所以Java程序能访问诸如Oracle、Sybase、MS SQL Server和MS Access等数据库。

此外,通过开发标识库,JSP技术可以进一步扩展,我们可以为常用功能创建自己的标识库,这使得我们能够使用熟悉的工具和如同标识一样的执行特定功能的构件来进行工作。

JSP技术很容易整合到多种应用体系结构中,以利用现存的工具和技巧,并能扩

8位模型机课程设计

xxxxx 课程设计报告学院机电信息学院 课程课程设计 专业计算机科学与技术班级xxxxx 姓名xxxxxxx x 学号xxxxxxxxxx 指导教师xxxxxx 日期201x年x月x日

目录 1.概述 0 2.总体设计 0 3.详细设计 (2) 3.1 运算器 (2) 3.2 存储器 (3) 3.3 微控制器 (5) 3.4 基本模型机设计与实现 (8) 4. 总结 (10) 参考文献 (11)

8位模型机的设计与仿真 1.概述 在掌握部件单元电路设计与仿真的基础上,进一步将其组成系统构造一台8位模型机。字长是8位纯整型,包含基本的五大件:运算器、存储器、控制器、I/O设备。它的结构框图如下图1-1所示. 这基本的五大件通过数据总线连接,实现数据的处理和控制。 部件实验过程中,各部件单元的控制信号是人为模拟产生的,而综合实验将能在微程序控制下自动产生各部件单元控制信号,实现特定指令的功能。这里,计算机数据通路的控制将由微程序控制器来完成,CPU从内存中取出一条机器指令到指令执行结束的一个指令周期全部由微指令组成的序列来完成,即一条机器指令对应一个微程序。 2.总体设计 模型机主要由运算器、控制器、存储器、数据总线、输入输出和时序产生器组成,模型机的结构图如图2-1所示。 图2-1 模型机结构图 在图2-1中T1、T2、T3和T4等控制信号都是由时序产生器生产,时序产生器由时序电路实现如图2-2所示,时序产生器一个周期中产生四个脉冲信号T1~T4,这四个脉冲信号用于控制组件的执行顺序,组件在这些信号的控制下有序的执行,一个周期中完成一条微指令的执行。 图2-2 时序产生器

16位模型机的设计

16位CPU的设计 要求: 此模型机的功能是将存储区的数据块复制到另一个存储区。 汇编代码如下: START:LOADI R1,0010H ;源操作数地址送R1 LOADI R2,0030H ;目的操作数地址送R2 LOADI R6,002FH ;结束地址送R6 NEXT:LOAD R3,[R1] ;取数 STORE [R2],R3 ;存数 BRANCHGTI START ;如果R1>R6,则转向START INC R1 ;修改源地址 INC R2 ;修改目的地址 BRANCHI NEXT ;转向NEXT 1.16位CPU的组成结构

2.指令系统的设计 一、指令格式 1)单字指令格式 2)双字指令格式 操作码指令功能 00001 LOAD 装载数据到寄存器 00010 STORE 将寄存器的数据存入到存储器 00100 LOADI 将立即数装入到寄存器 00101 BRANCHI 无条件转移到由立即数指定的地址 00110 BRANCHGTI 如果源寄存器容大于目的寄存器的容,则转移到由 立即数指定的地址 00111 INC 寄存器容加1指令 依据以上设计的指令系统,则完成数据块复制的程序如下: 地址机器码指令功能说明 0000H 0001H 2001H 0010H LOADI R1,0010H 源操作数地址送R1

一、程序包:说明运算器的功能、移动寄存器的操作、比较器的比较类型和用于CPU控 制的状态类型。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; package cpu_lib is subtype t_shift is unsigned (3 downto 0); constant shftpass :unsigned(3 downto 0):="0000"; constant sftl :unsigned(3 downto 0):="0001"; constant sftr:unsigned(3 downto 0):="0010"; constant rotl :unsigned(3 downto 0):="0011"; constant rotr :unsigned(3 downto 0):="0100"; subtype t_alu is unsigned(3 downto 0); constant alupass :unsigned(3 downto 0):="0000";

基本模型机的设计与实现课程设计报告

基本模型机的设计与实现课程设计报告 https://www.doczj.com/doc/4515985895.html,/maria87328/archive/2008/01/13/2041130.aspx 一、实验基本任务 1、由基本单元电路构成一台基本模型机。 2、设计五条机器指令,并编写相应的微程序。 3、调试指令和模型机使其在微程序的控制下自动产生各部件单元的控制信号正常工作。 二、设计方案 1、硬件设计 (1)设计微程序控制电路 微程序控制器的组成:控制存储器:EPROM2816*3,8D触发器74ls273*2,4D触发器74ls74*3;微指令寄存器格式:18位微指令,6位微地址。 (2)设计时钟信号源和时序控制电路 时钟信号源的组成:时基电路555,可触发单稳态多谐振荡器74ls237*2,输出频率为330-580Hz的方波信号。 时序控制电路:4D触发器74ls175*1组成移位寄存器电路。 (3)设计主存储器 主存电路的组成:6264存储器(8K*8位)*3,地址寄存器:74ls273*1,三态门:74ls245*1。 2、微控制设计 (1)实现存储器读操作; 拨动总清开关后,置控制开关SWC、SW A为“0 0”时,按要求连线后,连续按动“启动运行”开关,可对主存储器RAM连续手动读操作。 (2)实现存储器写操作; 拨动总清开关后,置控制开关SWC、SW A为“0 1”时,按要求连线后,再按动“启动运行”开关,可对主存储器RAM 连续手动写入。 (3)实现程序运行操作。 拨动总清开关后,置控制开关SWC、SW A为“1 1”时,按要求连线后,再按动“启动运行”开关,即可转入到第01号“取址”微指令,启动程序运行。

嵌入式的8位CISC模型机设计报告

韶关学院 课程设计说明书(论文) 课程设计题目:嵌入式的8位CISC模型机设计 学生姓名: 学号: 院系:计算机科学学院 专业班级: 指导教师姓名及职称: 起止时间:2011 年10 月——2011 年11 月 课程设计评分:

目录 一、实验目的 二、设计题目及要求 三、设计方案: 1.模型机的总体设计 2. 微程序控制器的组成原理框图 3. 模型机机器指令格式和指令系统 4. 时序产生器的设计原理及时序波形图 5. 微程序流程图 6. 微程序控制器单元 7. 汇编语言源程序 8. 机器语言的源程序 四、设计的过程与步骤 五.模型机系统顶层电路图 六.模型机的时序仿真波形图七.设计总结 八.参考文献

韶关学院课程设计任务书 学生姓名专业班级09科学与技术2班学号 指导教师姓名及职称设计地点信息418 设计题目嵌入式的8位CISC 本课程设计课题任务的内容和要求: 设计一台嵌入式的8位CISC模型计算机,并运行能完成一定功能的机器语言程序进行验证,程序功能可以是以下两个之一: ?求出1到任意一个整数N之间的所有奇数之和并输出显示,和为单字长。 说明:N从开关输入,和从数码管输出,然后输出显示停止。 对本课程设计工作任务及工作量的要求: 课程设计完成工作任务内容如下: (1)完成系统的总体设计,画出模型机数据通路框图。 (2)设计微程序控制器(CISC模型计算机)的逻辑结构图。 (3)设计机器指令格式和指令系统。 (4)设计时序产生器电路。 (5)设计所有机器指令的微程序流程图(CISC模型计算机) (6)设计操作控制单元。 ●设计的是CISC模型计算机,设计微指令格式(建议采用全水平型微指令),并根据微程序流程图和微指令格 式设计微指令代码表。根据微程序控制器的逻辑结构框图、微指令格式和微指令代码设计微程序控制器,包 括地址转移逻辑电路、微地址寄存器、微命令寄存器和控制存储器。 (7)设计模型机的所有单元电路,并用VHDL语言(也可使用GDF文件——图形描述文件)对模型机中的各个部件进行编程,并使之成为一个的整体,即形成顶层电路或顶层文件。 (8)由给出的题目和设计的指令系统编写相应汇编语言源程序(验证程序)。 (9)根据设计的指令格式,将汇编语言源程序手工转换成机器语言源程序,并将其设计到模型机的ROM中。(10)使用EDA软件MAX+plusII进行功能仿真,要保证其结果满足题目的要求(其中要利用MAX+plusII提供的波形编辑器,选择合适的输入/输出信号及中间信号进行调试)。 (11)选用给定的FPGA芯片——EP1K30TQC144进行器件编程和时序仿真,并将顶层电路或顶层文件下载到专用的EDA实验平台——GW48 EDA教学实验系统后进行操作演示。 课程设计应完成的图纸: (1)顶层设计的电路原理图; (2)元件(模块)符号图; (3)仿真波形图。 进度安排: 时间为18学时,分散在9周进行,每周2学时。 1、第1--4周:EDA技术基础知识教学讲座; 2、第5—8周:MAX+PLUS基础实验,确定课程设计题目,进行资料收集和学习、设计方案确定、电路设计、 程序设计与计算机仿真; 3、第9周:编写课程设计实验报告。 主要参考文献: [1] ]陈智勇等编. 《计算机原理课程设计》. 西安电子科技大学. 2006年6月 [2] 潘松黄继业编. 《EDA技术实用教程》(第二版). 科学出版社 2005年2月 [3] 罗克露等编. 《计算机组成原理》. 电子工业出版社 2004年8月 [4] 江国强编. 《EDA技术习题与实验》. 电子工业出版社 2005年1月 [5] 彭玄璋编《基于EDA技术的组成原理课程设计实验指导书》(自编教材) 2010年3月

计算机硬件课程设计--简单模型机设计

计算机硬件课程设计--简单模型机设计

计算机硬件综合课程设计报告

简单模型机设计 一、设计要求 硬件:TDN-CM+计算机组成原理实验系统一台,PC机一台,排线若干,串口线一根。 软件:CMP软件 二、设计目的 1.通过对一个简单计算机的设计,对计算机的基 本组成、部件的设计、部件间的连接、微程序控制器的设计、微指令和微程序的编制与调试等过程有更深的了解,加深对理论课程的理解。 2.通过这次课程设计,建立整机的概念,对程序 进行编辑,校验,锻炼理论联系实际的能力。 3.通过本次课程设计熟悉和训练设计思路与实 现方法。 4.通过本次课程设计锻炼团队合作的能力和团 队问题的解决。

三、设计电路及连线 设计电路及连线实验图如下图1-1所示。 图1-1 简单模型机连线图 四、设计说明 本次课程设计将能在微程序控制下自动产生各部件单元控制信号,实现特定指令的功能。这里,计算机数据通路的控制将由微程序控制器

来完成,CPU 从内存中取出一条机器指令到指令执行结束的一个指令周期全部由微指令组成的序列来完成,即一条机器指令对应一个微程序。 本次课程设计采用五条机器指令:IN (输入)、ADD (二进制加法)、STA (存数)、OUT (输出)、JMP (无条件转移),其指令格式如下(前4位为操作码): 助记符 机器指令码 说 明 微程序入口地址 IN 0000 0000 “INPUT DEVICE ”中 10 的开关状态→R0 0001 0000 ×××× ×××× R0+[addr]→R0 11 0010 0000 ×××× ×××× R0→[addr] 12 0011 0000 ×××× ×××× [addr]→BUS 13 0100 0000 ×××× ×××× addr →PC 14 ADD addr STA

计算机组成—复杂模型机方案

课程设计:计算机组成原理 题目名称:复杂模型机的设计 姓名: 学号:1108020184 1108020185 班级:网络工程1101班 完成时间:2018年1月6日1设计目的:建立清晰完善的整机概念; 学习设计与调试计算机的基本方法;培养严谨的科研作风和独立工作能力。 2设计任务: 综合运用所学的计算机原理知识,按给定的指令系统分和数据格式,在所提供的设备范围内,设计一台字长八位的由微程序控制器来控制的模拟计算机。设计并实现较为完整的八位模型计算机。 设计微程序控制器的逻辑原理电路图;

设计微地址转移的逻辑电路图; 设计微程序流程图; 设计说明书。 3设计指标: 字长八位; 时钟源MF=QB=1us 内存容量不得小于2 8; 指令系统不得小于十四条。要求算术逻辑指令七条、访问内存和控制指令四条、输入输出指令两条、其他指令一条。 4设计说明: 4.1数据格式 模型机规定采用定点补码表示法表示数据,且字长为8位,其格式如下: 其中,第7位为符号位,数值的表示范围是:-1乞X <1 模型机设计四大类指令共16条,其中包括算术逻辑指令、I/O指令、访问及转移指令和停机指令。 [1]算术逻辑指令 设计九条算术逻辑指令并用单字节表示,寻址方式采用寄存器直接寻址, 其格式如下: 其中,OP-COD为操作码,Rs为源寄存器,Rd为目的寄存器,并规定: [2]访存指令及转移指令 模型机设计两条访问指令,即存数(STA>、取数(LDA>,两条转移指令, 即无条件转移(JMP>结果为零或有进位转移指令(BZC>。其格式如下:

D 其中,OP-CODE^操作码,Rd为目的寄存器,D为位移量(正负均可>, M为寻址方式,其定义如下: 本模型机规定变址寄存器RI指定为寄存器R2 [3]I/O 指令 输入v IN)和输出<OUT)指令采用单字节指令,其格式如下: 其中,addr=01时,表示选中“输入单元”中的开关组作为输入设备, addr=10时,表示选中“输出单元”中的数码管作为输出设备。 [4]停机指令 这类指令只有1条,即停机指令HALT用于实现停机操作,指令格式如 4. 2系统指令 本模型机共有1 4条基本指令。其中,算术逻辑指令6条,移位指令2条,访问内存指令和程序控制指令3条,输入输出指令2条,其他它指令1条。表1列出了各条指令的格式、汇编符号、指令功能。

模型机实验报告

哈尔滨工程大学 实验报告 实验名称:复杂模型机设计与实现 班级: 学号: 姓名: 实验时间: 成绩: 指导教师:程旭辉附小晶 实验室名称:计算机专业实验中心 一、实验名称:复杂模型机的设计与实现 二、实验目的:

1.综合运用所学计算机原理知识,设计并实现较为完整的计算机。 2.设计指令系统。 3.编写简单程序,在所设计的复杂模型计算机上调试运行。 三、实验设备: GW-48CPP系列计算机组成原理实验系统。 四、实验原理: 1.数据格式 8位,其格式如下: 其中第7位为符号位,数值表示范围是:-1≤1。 2.指令格式 所设计的指令分为四大类共十六条,其中包括算术逻辑指令、I/O指令、访问、转移指令和停机指令。 (1)算术逻辑指令 设计9条算术逻辑指令并用单字节表示,采用寄存器直接寻址方式,其格式如下: 其中, (2)访问指令及转移指令 访问指令有2条,即存数(STA)、取数(LDA);2条转移指令,即无条件转移(JMP)、结果为零或有进位转移指令(BZC) 其中,OP-CODE指令)。D为位移量(正负均可),M为寻址模式,其定义如下: 在本模型机中规定变址寄存器RI为寄存器R2。 (3)I/O指令 输入(IN)和输出( 其中,addr=01时,选中“OUTPUT DEVICE”中的LCD

点阵液晶屏作为输出设备。 (4)停机指令 指令格式如下: 3.指令系统 共有16条基本指令,其中算术逻辑指令7条,访问内存指令和程序控制指令4条,输入/输出指令2条,其

本模型机的数据通路框图如图7-1。根据机器指令系统要求,设计微程序流程图及确定微地址,如图7-2。 图7-2 微程序流程图 五、实验内容: 按照系统建议的微指令格式,参照微指令流程图,将每条微指令代码化,译成二进制代码表,并将二进制代码表转换为联机操作时的十六进制格式文件。 微代码定义如表7-1所示。

计算机组成原理课程设计基本模型机设计与实现

课程设计(大作业)报告 课程名称:计算机组成原理 设计题目:基本模型机设计与实现 院系:信息技术学院 班级:计算机科学与技术3班 设计者: 学号: 指导教师:________________________________ 设计时间: 昆明学院 信息技术学院 课程设计(大作业)任务书 姓名:院(系):信息技术学院 专业:计算机科学与技术学号:课程设计题目:基本模型机设计与设计课程设计要求: 本课程设计以ZYE1603E计算机组成原理及系统结构教学实验系统为平台,设计完成。 1. 按给定的数据格式和指令系统,设计一个微程序控制器。 2. 设计给定机器指令系统以及微程序流程图,按微指令格式写出微程序的为指令代码。 3. 连接逻辑电路完成启动、测试、编程、测试、效验和运行,并观测运行过程及结果。 4. 将微程序控制器模块与运算器模块,存储器模块联机,组成一台模型计算机。 5. 用微程序控制器控制模型机的数据通路。 6. 通过在模型机上运行由机器指令组成的简单程序,掌握机器指令与微指令的关系, 建立计算机整机的概念,掌握计算机的控制机制。 7. 按指定的应用项目进行汇编指令格式及功能设计,并设计相应的机器指令代码,按照模型机数据通路设计实现机器指令功能的微程序。在PC机上编辑机器指令和微程序, 装载代码到ZYE1603B实验系统并运行,实现应用要求。 工作计划及安排:

2017年6月19日上午:集中进行课程设计题目的讲解和分解,提出学生需要掌握的硬件和软件方面的知识和要求;以及在课程设计期间的安全和纪律要求,熟悉开发环境 (ZYE1603B (4401 机房)。 2017年6月19日下午:查阅资料、确定题目,了解各人(小组)设计安排,就设计过程进行集中讲解,解决设计过程存在的问题。 2017年6月20日~6月22日:在机房进行系统的设计。 2017年6月23日上午:分组讨论,进行交流,了解学生的掌握情况,对本周的学习情况进行总结,对后续的设计提出要求;对存在的问题进行分析和解决。(机房)。 2017年6月23日下午:答辩,成绩评定。 指导教师签字_________________ 2017年6月19日

实验六 复杂模型机的设计与实现

实验五 复杂模型机的设计与实现 一、实验目的 综合运用所学计算机原理知识,设计并实现较为完整的计算机。 二、实验设备 Dais-CMX16+计算机组成原理教学实验系统一台,实验用导线若干。 三、数据格式及指令系统 1. 数据格式 8 其中第7位为符号位,数值表示范围是:≤<。2. 指令格式 模型机设计四大类指令共16条,其中包括算术逻辑指令、I/O 指令、访问及转移指令和停机指令。 ⑴ 算术逻辑指令 设计9条算术逻辑指令并用单字节表示,寻址方式采用寄存器直接寻址,其格式如下: 其中,OP-CODE 为操作码,Rs 为源寄存器, Rd 为目的寄存器,并规定: 其中9条算术逻辑指令的名称、功能和具体格式见表5-1。 ⑵ 访问指令及转移指令 模型机设计2条访问指令,即存数(STA )、取数(LDA ),2条转移指令,即无条件转移(JMP )、 结果为零或有进 位转移指令(BZC ),指令格式为: 其中“0 0 M ”为源码段,2OP-CODE 为目的码段(LDA 、STA 指令使用)。D 为十六位地址段(低八在前,高八随后),M ⑶ I/O 指令

输入(IN)和输出(OUT ⑷停机指令 指令格式如下: HALT指令,用于实现停机操作。 3.指令系统 本模型机共有16条基本指令,其中算术逻辑指令9条,访问内存指令和程序控制指令4条,输入输出指令2条,其它指令1条。下表列出了各条指令的格式、汇编符号、指令功能。 图5-1复杂模型机微程序流程图 按照系统建议的微指令格式,参照微指令流程图,将每条微指令代码化,译成二进制代码,并将二进制代码表转换成十六进制格式文件。 源编码目的编码

基本模型机设计及实现

基本模型机设计及实现文件排版存档编号:[UYTR-OUPT28-KBNTL98-UYNN208]

课程设计任务书课程名称:计算机组成原理 设计题目:(共3个课题,最多3人一组,每组任选一题) 1.基本模型机设计与实现; 2.带移位运算的模型机的设计与实现; 3.复杂模型机的设计与实现。 已知技术参数和设计要求: 内容和技术参数: 利用所学过的理论知识,特别是微程序设计的思想,写出要设计的指令系统的微程序。设计环境为TDN-CM+计算机组成原理教学实验系统,微机,虚拟软件。将所设计的微程序在此环境中进行调试,并给出测试思路和具体程序段。最后撰写出符合要求的课程设计说明书、完成答辩。 1.基本模型机设计与实现 指令系统至少要包括六条不同类型指令:如一条输入指令,一条减法指令,一条加法指令,一条存数指令,一条输出指令和一条无条件转移指令。 2. 带移位运算的模型机的设计与实现 在基本模型机的基础上增加左、右循环和左、右带进位循环四条指令 3. 设计不少于10条指令的指令系统。其中,包含算术逻辑指令,访问内存指令,程序控制指令,输入输出指令,停机指令。重点是要包括直接、间接、变址和相对寻址等多种寻址方式。 以上数据字长为8位,采用定点补码表示。指令字长为8的整数倍。微指令字长为24位。

具体要求: 1、确定设计目标 确定所设计计算机的功能和用途。 2、确定指令系统 确定数据的表示格式、位数、指令的编码、类型、需要设计哪些指令及使用的寻址方式。确定相对应指令所包含的微操作。 3、总体结构与数据通路 总体结构设计包括确定各部件设置以及它们之间的数据通路结构。在此基础上,就可以拟出各种信息传输路径,以及实现这些传输所需要的微命令。 综合考虑计算机的速率、性能价格比、可靠性等要求,设计合理的数据通路结构,确定采用何种方案的内总线及外总线。数据通路不同,执行指令所需要的操作就不同,计算机的结构也就不一样。 4、设计指令执行流程 数据通路确定后,就可以设计指令系统中每条指令所需要的机器周期数。对于微程序控制的计算机,根据总线结构,需考虑哪些微操作可以安排在同一条微指令中,哪些微操作不能安排在同一条微指令中。 5、确定微程序地址 根据后续微地址的形成方法,确定每个微程序地址及分支转移地址。 6、微指令代码化 根据微指令格式,将微程序流程中的所有微指令代码化,转化成相应的二进制代码写入到控制存储器中的相应单元中。

计算机组成原理-简单模型机设计课设

目录 摘要 (2) 前言 (3) 正文 (4) 一、设计目的和设计原理 (4) 1.1设计目的 (4) 1.2设计原理 (4) 二、总体设计 (7) 三、详细设计 (8) 3.1运算器的物理结构 (8) 3.2存储器系统的组成与说明 (11) 3.3指令系统的设计与指令分析 (12) 3.4微程序控制器的逻辑结构及功能 (14) 3.5微程序的设计与实现 (18) 四、系统调试 (27) 总结 (29) 参考文献 (30) 致谢 (31)

摘要 根据设计任务书要求,本设计要实现完成一个简单计算机的设计,主要设计部分有运算器,存储器,控制器以及微指令的设计。 其中运算器由运算芯片和寄存器来完成,存储器由总线和寄存器构成,使用硬布线的方式实现控制器,从而完成设计要求。 : 关键词:基本模型机的设计;运算器;存储器;控制器;

前言 计算机组成原理是计算机科学技术学科的一门核心专业基础课程。从课程的地位来说,它在先导课程和后续课程之间起着承上启下的作用。 计算机组成原理讲授单处理机系统的组成和工作原理,课程教学具有知识面广,内容多,难度大,更新快等特点。此次课程设计目的就是为了加深对计算机的时间和空间概念的理解, 增强对计算机硬件和计算机指令系统的更进一步了解。 计算机组成原理课程设计目的是为加深对计算机工作原理的理解以及计算机软硬件之间的交互关系。不仅能加深对计算机的时间和空间的关系的理解,更能增加如何实现计算机软件对硬件操作,让计算机有条不紊的工作。

正文 一、设计目的和设计原理 1.1设计目的 融会贯通计算机组成原理课程中各章的内容,通过知识的综合运用,加深对计算机系统各模块的工作原理及相互联系的认识,特别是对硬连线控制器的认识,建立清晰的整机概念。对计算机的基本组成、部件的设计、部件间的连接、微程序控制器的设计、微指令和微程序的编制与调试等过程有更深的了解,加深对理论课程的理解。 在掌握部件单元电路实验的基础上,进一步将其组成系统地构造一台基本模型计算机。 1.2设计原理 (1)运算器 设计中所用的运算器数据通路,其中运算器由两片74LS181以并/串形成8位字长的ALU构成。运算器的输出经过一个三态门74LS245(U33)到ALUO1插座,实验时用8芯排线和内部数据总线BUSD0~D7插座BUS1~6中的任一个相连,内部数据总线通过LZD0~LZD7显示灯显示;运算器的两个数据输入端分别由二个锁存器74LS273(U29、U30)锁存,两个锁存器的输入并联后连至插座ALUBUS,测试时通过8芯排线连至外部数据总线EXD0~D7插座EXJ1~EXJ3中的任一个;参与运算的数据来自于8位数据开并KD0~KD7,并经过一三态门74LS245(U51)直接连至外部数据总线EXD0~EXD7,通过数据开关输入的数据由LD0~LD7显示。 算术逻辑运算功能发生器 74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M并行相连后连至SJ2插座,测试时通过6芯排线连至6位功能开关插座UJ2,以手动方式用二进制开关S3、S2、S1、S0、CN、M来模拟74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M;其它电平控制信号LDDR1、LDDR2、ALUB`、SWB`以手动方式用二进制开关LDDR1、LDDR2、ALUB、SWB来模拟,这几个信号有自动和手动两种方式产生,通过跳线器切换,其中ALUB`、SWB`为低电平有效,LDDR1、

8位模型计算机的设计

石家庄经济学院 华信学院 计算机组成原理课程设计报告 题目 8位模型计算机的设计 姓名 学号 班号 4064170801 指导老师关文革尹立洁赵洋 成绩 2009年1月 目录 1. 课程设计目的 52 2. 开发工具选择 52 3. 方案选择 52 4.指令系统设计 52 5. 模型机框图设计 52 6. 指令流程图 52 7. 指令操作时间表(组合逻辑控制器)或者微指令格式(微程序控制器)设计 52 8. 微操作信号综合与优化(组合逻辑控制器)或者微程序(微程序控制器)设计 52 9. VHDL实现 52 10. 调试仿真 52 11. 课程设计回顾总结 52 参考文献 52 附录 52 一、课程设计目的 1、计算机组成原理课程设计的主要任务是让学生通过动脑和动手解决计算机设计中的实际问题。综合运用所学计算机组成原理知识,在掌握部件单元电路实验的基础上,进一步将其组成系统构造一台基本的模型计算机,掌握整机概念,并设计机器指令系统,编写程序,在所设计的模型计算机上调试运行。

2、通过一台模型机的设计过程,明确计算机的控制原理与控制过程,巩固和灵活应用所学的理论知识,掌握计算机组成的一般设计方法,提高学生设计能力和实践操作技能,为从事计算机研制与设计打下基础。 二、开发工具选择 以TEC-CA教学实验系统为平台,采用硬件描述语言 VHDL为设计工具,应用QUARTUSⅡ5.1环境进行大规模集成电路的功能设计仿真。 三、方案选择 应用微程序控制器来实现8位模型计算机的设计。 四、指令系统设计 所要设计的微程序控制器是由七条指令来完成的,即:load,sta,add,sub,and1,nop,jmp。实现功能分别如下:load指令是公操作,实现取数据的功能;sta指令实现存操作;add指令实现加法操作;sub指令实现减法操作;and指令实现与操作; nop指令实现空操作;jmp指令实现无条件跳转操作。 其中add,sub,and1,属于双操作数指令,其指令格式如下图: 目的 源 其中jmp属于转移指令,其指令格式如下图: 转移条件 转移地址 五、模型机框图设计 I/O 六、指令流程图 Addr_bus load_MAR CS R_NW 3 OP

实验七基本模型机的设计与实现

实验七 基本模型机的设计与实现 一、实验目的 ⒈在掌握部件单元电路实验的基础上,进一步将其组成系统地构造 一台基本模型计算机。 ⒉为其定义5条机器指令,并编写相应的微程序,上机调试掌握整机 概念。 二、实验设备 Dais-CMH+/CMH 计算器组成原理教学实验系统一台,实验用扁平 线、导线若干。 三、实验原理 部件实验过程中,各部件单元的控制信号是以人为模拟产生为主,而 本次实验将能在微程序控制下自动产生各部件单元的控制信号,实现特 定指令的功能。这里,计算机数据通路的控制将由微程序控制器来完 成,CPU从内存中取出一条机器指令到指令执行结束的一个指令周期全 部由微指令组成的序列来完成,即一条机器指令对应一个微程序。 本实验采用五条机器指令:IN(输入)、ADD(二进制加法)、 STA(存数)、OUT(输出)、JMP(无条件转移),其指令格式如下 (前三位为操作码): ==========================================================助记符 机器指令码 说 明 -------------------------------------------------- ------------- IN R0,SW 0010 0000 数据开关状态 →R0 ADD R0,[addr] 0100 0000 XXXXXXXX R0+[addr]→R0 STA [addr],R0 0110 0000 XXXXXXXX R0→[addr] OUT [addr],LED 1000 0000 XXXXXXXX [addr]→LED JMP addr 1010 0000 XXXXXXXX addr→PC ==========================================================其中IN为单字节(8位),其余为双字节指令,XXXXXXXX为addr对 应的二进制地址码。 根据以上要求设计数据通路框图,如图7-10-1所示。系统涉及到的 微程序流程见图7-7-3,当拟定“取指”微指令时,该微指令的判别测试 字段为P(1)测试。由于“取指”微指令是所有微程序都使用的公用微指 令,因此P(1)的测试结果出现多路分支。本机用指令寄存器的前3位 (IR7~IR5)作为测试条件,出现8路分支,占用8个固定微地址单元。 当全部微程序设计完毕后,应将每条微指令代码化,表7-10-1即为 将图7-10-2的微程序流程图按微指令格式转化而成的“二进制微代码

计算机组成原理 基本模型机实验

淮海工学院计算机工程学院实验报告书 课程名:《计算机组成原理》 题目:实验四基本模型机实验 班级: 学号: 姓名:

1、目的与要求 1)在“微程序控制器的组成与微程序设计实验”的基础上,将第一部分中的各单元组成系统,构造一台基本模型计算机。 2)本实验定义五条机器指令,编写相应的微程序,并上机调试运行,形成整机概念。2、实验设备 1) ZYE1601B计算机组成原理教学实验箱一台,排线若干。 2) PC机一台。 3、实验步骤与源程序 l) 根据该模型机的指令系统,编写一段程序。这里给出两个参考程序。 参考程序一: 本程序从输入设备(数码开关)取入数据,保存在内存单元08,然后从08单元送到输出设备(LED数码管)进行显示。然后程序停止(请实验者考虑:如何修改程序,使程序不断从输入设备取出数据,送到输出设备显示。每次循环过程中,可以使输入设备数据改变,考察输出显示的结果。)。 设计机器指令程序如下(机器码为十六进制数据)。 地址内容助记符说明 00 00 IN ;输入开关数据→R0 01 20 STA [08H] ;R0→[08] 02 08 ;地址 03 30 OUT [08H] ;[08H]→BUS 04 08 ;地址 05 40 JMP [00H] ;00H→PC 06 00 ;跳转地址 参考程序二: 本程序从输入设备(数码开关)读入数据,与0A单元的数据相加,然后送到输出设备(LED 数码管)进行显示。本程序不断地循环运行,在运行中可改变输入开关(INPUT)的值,观察输出显示的变化。 设计机器指令程序如下(机器码为十六进制数据)。 地址内容助记符说明 00 00 IN ;输入开关数据→R0,采集数据 01 10 ADD [0AH] ;R0+[0AH]→R0,输入数据与指定数据相加 02 0A ;地址 03 20 STA [0BH] ;R0→[0B] 04 0B ;地址 05 30 OUT [0BH] ;[0BH]→BUS,输出显示 06 0B ;地址 07 40 JMP [00H] ;00H→PC 08 00 ;跳转地址 0A 01 ;加数,可自定 0B ;求和结果保存在0B单元 2) 按图1连接实验线路。 3) 写程序: 对于本实验箱可以用两种方法来写入程序。 方法一:手动写入 (1)先将机器指令对应的微代码正确地写入2816中,由于在实验1.6微程序控制器的组成与微程序设计实验中已将微代码写入E2PR0M芯片中,对照表2—2校验正确后就可使用。

计算机组成原理课程设计基本模型机设计与实现

课程设计(大作业)报告课程名称:计算机组成原理 设计题目:基本模型机设计与实现 院系:信息技术学院 班级:计算机科学与技术3班 设计者: 学号: 指导教师: 设计时间: 昆明学院 信息技术学院 课程设计(大作业)任务书

目录 课程设计(大作业)报告 一、课程设计的教学目的 1. 在“微程序控制器的组成与微程序设计实验”的基础上,进一步将其中各单元组成系统构造一台模型计算机。 2. 本实验定义五条机器指令,编写相应的微程序,并上机调试运行,形成整机概念。 课程设计内容设计一台基本模型机,并实现相关的指令。 二、课程设计任务和基本要求 本课程设计以TDN-CM++计算机组成原理教学实验系统为平台设计完成。 1.按给定的数据格式和指令系统,设计一个微程序控制器。 2.设计给定机器指令系统以及微程序流程图,按微指令格式写出微 程序的为指令代码。

3.连接逻辑电路完成启动,测试,编程,测试,效验和运行,并观测运 行过程及结果。 4.将微程序控制器模块与运算器模块,存储器模块联机,组成一台 模型计算机。 5.用微程序控制器控制模型机的数据通路。 6.通过在模型机上运行由机器指令组成的简单程序,掌握机器指令 与微指令的关系,建立计算机整机的概念,掌握计算机的控制机制。 7.按指定的应用项目进行汇编指令格式及功能设计,并设计相应的 机器指令代码,按照模型机数据通路设计实现机器指令功能的微程序.在 PC机上编辑机器指令和微程序,装载代码到TDN-CM++实验系统并运行,实现应用要求。 三、设计任务及分析 (1)设计任务: 从输入设备读取数据X并将其存入以A为间接地址的 内存单元,将X与R 0. 寄存器中的内容Y执行X ⊕,结果送到以B为直接地址的内存单元保存。 (2)分析: A:给R 寄存器直接置入01H. B:从数据开关给间接地址为0CH的内存单元置数,(03H). C:给R 0中的内容取反,结果存在R 中. D:将间接地址0CH中直接地址0EH中的内容(03H)放入DR1中, R 中的内容 放入DR2中,将DR1和DR2种的数进行异或运算,结果放在R 中. E:将R 中的内容存在直接地址为0DH的内存单元中. 四、设计原理 模型机在微程序控制下自动产生各部件单元控制信号,实现特定指令的功能。这里,计算机数据通路的控制将由微程序控制器来完成,CPU 从内存中取出一条机器指令到指令执行结束的一个指令周期全部由微指令组成的序列来完成,即一条机器指令对应一段微程序。 本实验采用五条机器指令: IN(输入)、ADD(二进制加法)、STA(存数)、OUT(输出)、JMP(无条件转移),其指令格式如下(前4位为操作码):

基本模型机设计与实现.

课程设计 课程名称:计算机组成原理 设计题目:基本模型机设计与实现 学院:信息工程与自动化 专业:计算机科学与技术 年级: 学生姓名: 指导教师:王海瑞 日期: 教务处制

课程设计任务书 信息工程与自动化学院计算机专业年级 学生姓名: 课程设计题目:基本模型机设计与实现 课程设计主要内容: 利用所学过的理论知识,特别是微程序设计的思想,写出要设计的指令系统的微 程序。将所设计的微程序在计算机组成原理教学实验系统环境中进行测试,并给出测试思路和具体程序段。最后撰写出符合要求的课程设计报告。 首先要确定所设计计算机的功能和用途,设计中根据功能和用途确定指令系统, 数据的表示格式,位数,指令的编码,类型,需要设计那些指令和寻址方式。确定相 对应指令所包含的微操作以及总体结构设计之间的数据通路结构,在此基础上,就可以拟出各种信息传输路径,以及实现这些传输所需要的微指令。 设计指导教师(签字): 教学基层组织负责人(签字): 年月日

目录 一、基本模型机的设计,,,,,,,,,,,,,,,, 4 1、程序设计目的,,,,,,,,,,,,,,,, 4 2、程序设计任务和基本要求,,,,,,,,,,,, 4 3、实验原理,,,,,,,,,,,,,,,,,,,,,,, 5 二、实验内容及步骤,,,,,,,,,,,,,,,8 1.实验内容,,,,,,,,,,,,,,,,,,,8 2.实验步骤,,,,,,,,,,,,,,,,,10 3.实验情况及记录,,,,,,,,,,,,,,,14 三、总结体会,,,,,,,,,,,,,,,,,,15 四、参考文献,,,,,,,,,,,,,,,,,,16 一、基本模型机的设计 1、程序设计目的 (1)掌握计算机系统组成及内部工作机制、理解计算机各功能部件工作原理的基础上,深入掌握信息流和控制信息流的流动过程,

计算机组成原理模型机设计与实现

武汉华夏理工学院 课程设计 课程名称计算机组成原理 题目模型机设计与实现 专业 班级 姓名 成绩 指导教师田小华 2016 年12 月27 日

武汉华夏理工学院信息工程系 课程设计任务书 课程名称:计算机组成原理指导教师:田小华 班级名称:开课教研室:软件与信息安全 一、课程设计目的与任务 理解计算机系统各个功能部件的功能、结构和工作原理,正确理解各功能部件之间的相互关系及其在计算机系统中所起的作用;掌握计算机系统各个功能部件的设计和分析技术,包括数据与指令的编码、存储器、运算器、输入输出接口等。 在此基础上,使学生通过理论与实践的结合,利用基本模型计算机的构建与调试实验,完整地建立计算机硬件的整机模型,掌握中央处理器的基本结构和控制流程,掌握机器指令执行的基本过程,熟悉微程序控制器的基本结构和微程序设计技术的主要技巧,理解一条机器指令与一段微程序的关系,明确高级语言指令与微指令的对应关系,充分理解控制信息流利用数据通路完成对数据流的加工处理的过程。 通过课程设计,使学生将所学专业知识综合运用,在实践活动中积累经验,增长才干,训练学生独立工作能力,激发学生的学习热情,培养学生的自主创新精神,养成务实严谨的工作作风。 二、课程设计的内容与基本要求 1.按给定的数据格式、机器指令格式和微指令格式,利用基本的数字逻辑器件,设计—台微程序控制的模型计算机。 2.设计五条机器指令:IN,ADD,STA,OUT,JMP,并用微指令编写微程序,实现每条机器指令的功能。 3.在TD-CMA教学实验平台上实现基本模型机方案: ⑴建立数据通路,定义开关SWA及SWB的功能;⑵确定微程序控制流程,掌握控制台操作;⑶输入编写的五条机器指令的微程序序列;⑷输入实验机器指令程序序列;⑸设计基本模型的物理连线;⑹完成微程序的调试,实现实验机器指令程序的功能。 完成模型机调试任务后,整理课程设计资料,撰写课程设计报告。课程设计报告内容包括: ①模型机数据通路图;②微程序控制器逻辑模块图;③微程序控制流程图;④元件排列图; ⑤设计说明书;⑥调试小结。 三、课程设计步骤及时间进度和场地安排 《计算机组成原理》课程设计将安排在第17周, 地点在信息系实验楼523教室。具体安排如下:1.第17周周1(1节)(12月26日) :集中讲解课程设计原理与方法,3-203教室 2.第17周周1(2--4节):完成模型机的实验线路连接

计算机组成原理-简单模型机设计课设

兰州理工大学技术工程学院 计算机组成原理课程设计任务书(09级)题目:模型机设计—1 学生姓名:学号: 班级:计算机科学与技术(2)班指导老师: 一、计算机组成原理课程设计题目简介 该设计要求学成根据计算机组成原理课程所学知识,设计、开发一套简单的模型就算计。 通过对一个简单计算机的设计,以达到对计算机的基本组成、部件的功能与设计、微程序控制器的设计,微指令和微程序的编制与调试等过程有更深的了解,加深对理论课程的理解。通过模型机的设计和调试,连贯运用计算机组成原理课程学到的知识,建立计算机整体概念,加深计算机时间与空间概念的理解。 二、计算机组成原理课程设计任务 1、查阅文献资料,一般在5篇以上; 2、以教学实验用模型机为背景,通过调研、分析现有的模型机,建立带有带8位自增、自减指令的整机模型; 3、完成系统编程与测试工作; 4、撰写设计说明书; 5、做好答辩工作。 三、计算机组成原理课设设计的主要内容、功能及指标 1、根据任务要求设计整体系统的方案。 2、存储系统:使用模型机的存储模块,说明存储器的输入输出时序,模块连接方式等。 3、运算器:使用模型机的器件,组成带有片间串行进位8位移位运算功能的运算器。 4、微程序控制器模块:使用教学机的系统,设计微程序控制器。 5、设计模型机指令系统:(含设计微指令格式,微程序流程图,每条指令所对

应的微程序等)。指令系统包括下列指令:IN、OUT、STA、LDA、JMP、BZC、CLR、MOV、 ADD、SUB、ADC、ADT、INC、DEC、SBT、SBC 6、了解并说明教学模型机的输入输出模块。 7、在自己设计的指令系统基础上,编制一个汇编语言小程序并进行调试通过。 8、整机设计分模块进行,说明模块中数据和控制信号的来源、去向、功能、时序、以及模块间数据和控制信号的来源、去向、功能、时序等。 四、完成课程设计报告 1、设计题目、设计任务、实验设备与器材; 2、整体设计方案,设计原理与内容; 3、画出模型机数据通路图; 4、画出设计的模型机微程序流程图和微程序; 5、说明指令系统的格式; 6、说明模块中数据和控制信号的来源、去向、功能、时序、以及模块间数据和控制信号的来源、去向、功能、时序等。 7、调试情况,调试过程中遇到的主要问题,是如何解决的;对设计和编码的回顾讨论和分析;改进设想;经验和体会等; [1]计算机组成原理课程设计提交的成果 1.设计说明书一份,内容包括: 1)中文摘要100字;关键词3-5个; 2)前言; 3) 设计的目的及设计原理; 4)模型机的逻辑结构及框架; 5) 运算器的物理结构; 6)存储器系统的组成与说明; 7)指令系统的设计与指令格式分析; 8) 微程序控制器的逻辑结构及功能; 9)微程序的设计与实现(含微指令格式、后续地址产生方法以及微程序入口地址的形式)

相关主题
相关文档 最新文档