当前位置:文档之家› 实验一 基于QUARTUSII图形输入电路的设计

实验一 基于QUARTUSII图形输入电路的设计

实验一   基于QUARTUSII图形输入电路的设计
实验一   基于QUARTUSII图形输入电路的设计

实验一基于QUARTUSII图形输入电路的设计

一、实验目的

1、通过一个简单的3—8译码器的设计,掌握组合逻辑电路的设计方法。

2、初步了解QUARTUSII原理图输入设计的全过程。

3、掌握组合逻辑电路的静态测试方法。

二、实验原理

3-8译码器三输入,八输出。当输入信号按二进制方式的表示值为N时,输出端标号为N 的输出端输出高电平表示有信号产生,而其它则为低电平表示无信号产生。因为三个输入端能产生的组合状态有八种,所以输出端在每种组合中仅有一位为高电平的情况下,能表示所有的输入组合。其真值表如表1-1所示

输入输出

A B C D7 D6 D5 D4 D3 D2 D1 D0

0 0 0 0 0 0 0 0 0 0 1

0 0 1 0 0 0 0 0 0 1 0

0 1 0 0 0 0 0 0 1 0 0

0 1 1 0 0 0 0 1 0 0 0

1 0 0 0 0 0 1 0 0 0 0

1 0 1 0 0 1 0 0 0 0 0

1 1 0 1 0 0 0 0 0 0

1 1 1 1 0 0 0 0 0 0 0

表1-1 三-八译码器真值表

译码器不需要像编码器那样用一个输出端指示输出是否有效。但可以在输入中加入一个输出使能端,用来指示是否将当前的输入进行有效的译码,当使能端指示输入信号无效或不用对当前信号进行译码时,输出端全为高电平,表示无任何信号。本例设计中没有考虑使能输入端,自己设计时可以考虑加入使能输入端时,程序如何设计。

三、实验内容

在本实验中,用三个拨动开关来表示三八译码器的三个输入(A、B、C);用八个LED来表示三八译码器的八个输出(D0-D7)。通过输入不同的值来观察输入的结果与三八译码器的真

值表(表1-1)是否一致。实验箱中的拨动开关与FPGA 的接口电路如下图1-1所示,当开关闭合(拨动开关的档位在下方)时其输出为低电平,反之输出高电平。其电路与FPGA 的管脚连接如表1-2所示

图1-1 拨动开关与FPGA 接口电路

表1-2 拨动开关与FPGA 管脚连接表

LED 灯与FPGA 的接口电路如图1-2所示,当FPGA 与其对应的端口为高电平时LED 就会发光,反之LED 灯灭。其与FPGA 对应的管脚连接如表1-3所示。

图1-2 LED灯与FPGA接口电路

表1-3 LED灯与FPGA管脚连接表

四、实验步骤

下面将通过这个实验,向读者介绍QUARTUSII的项目文件的生成、编译、管脚分配以及时序仿真等的操作过程。

1、建立工程文件

1)选择开始>程序>Altera>QuartusII8.1> QuartusII8.1(32BIT),运行QUARTUSII软

件。或者双击桌面上的QUARTUSII的图标运行QUARTUSII软件,出现如图1-3所示,如果是第一次打开QUARTUSII软件可能会有其它的提示信息,使用者可以根据自己的实际情况进行设定后进入图1-3所示界面。

图1-3 QUARTUSII软件运行界面

2)选择软件中的菜单File>New Project Wizard,新建一个工程。如图1-4所示。

3)点击图1-4中的NEXT进入工作目录,工程名的设定对话框如图1-5所示。第一个输入框为工程目录输入框,用户可以输入如e:/eda等工作路径来设定工程的目录,设定好后,所有的生成文件将放入这个工作目录。第二个输入框为工程名称输入框,第三个输入框为顶层实体名称输入框。用户可以设定如EXP1,一般情况下工程名称与实体名称相同。使用者也可以根据自已的实际情况来设定。

图1-4 新建工程对话框

图1-5 指定工程名称及工作目录

4)点击NEXT,进入下一个设定对话框,按默认选项直接点击NEXT进行器件选择对话框。如图1-6所示。这里我们以选用CycloneIII系列芯片EP3C80F780C8为例进行介绍。用户可以根据使用的不同芯片来进行设定。

图1-6 器件选择界面

首先在对话框的左上方的Family下拉菜单中选取CycloneII,在中间右边的Speed grade下拉菜单中选取8,在左下方的Available devices框中选取EP3C80F780C8,点击NEXT完成器件的选取,进入EDA TOOL设定界面如图1-7所示。

图1-7 EDA TOOL对话框

5)按默认选项,点击NEXT出现新建工程以前所有的设定信息,如图1-8所示,点击FINISH完成新建工程的建立。

图1-8 新建工程信息

2、建立图形设计文件

1)在创建好设计工程后,选择File>NEW…菜单,出现图1-9所示的新建设计文件类型选择窗口。这里我们以建立图形设计文件为例进行说明,其它设计输入方法与之基本相同。

图1-9 新建设计文件选择窗口

2)在New对话框(图1-9)中选择Device Design Files页下的Block Diagram/Schematic File,点击OK按钮,打开图形编辑器对话框,如图1-10所示。图中标明了常用的每个按钮的功能。

图1-10 QUARTUSII图形编辑器对话框

QUARTUSII图形编辑器也称块编辑器(Block Editor),用于以原理图(Schematics)和结构图(Block Diagrams)的形式输入和编辑图形设计信息。QUARTUSII图形编辑器可以读取

并编译结构图设计文件(Block Design File)和MAXPLUSII图形设计文件(Graphic Design Files),可以在QUARTUSII软件中打开图形设计文件并将其另存为结构图设计文件。

在QUARTUSII图形编辑器窗口(图1-10)中,根据个人爱好,可以随时改变Block Editor的显示选项,如导向线和网格间距、橡皮筋功能、颜色以及基本单元和块的属性等。

3)在这里以用原理图输入设计一个三八译码器为例,介绍基本单元符号输入方法的步骤。在图1-10所示的图形编辑器窗口的工件区双击鼠标的左键,或点击图中的符号工具按钮,或选择菜单Edit>Insert Symbol…,则弹出如图1-10所示的Symbol对话框。

图1-10 Symbol对话框

4)用鼠标点击单元库前面的“+”号,展开单元库,用户可以选择所需要的图元或符号,该符号则显示在右边的显示符号窗口,用户也可以在符号名称里输入你所需要的符号名称,点击OK按钮,所选择的符号将显示在图形编辑器的工件工域。

5)参考图1-11所示,将要选择的器件符号放置在图形编辑器的工件区域,用正交节点工具将原件边接起来,然后定义端口的名称。在这个例子里,定义三个输入为A、B、C,定义八个输出为D0、D1、D2、D3、D4、D5、D6、D7。用户也可以根据自己的习惯来定义这些端口名称。

6)完成图形编辑的输入之后,需要保存设计文件或重新命名设计文件。选择File>Save As…项,出现如图1-12所示对话框,选择好文件保存目录,并在文件名栏输入设计文件名。

如需要将设计文件添加到当前工程中,则选择对话框下面的Add file to current project复选框,单击保存按钮即可保存文件。需要注意的是,在整个设计文件保存的过程当中,都需要遵循设计输入法的一般规则。

图1-11 设计文件的输入

图1-12 保存设计文件对话框

3、对设计文件进行编译

QUARTUSII编译器窗口包含了对设计文件处理的全过程。在QUARTUSII软件中选择Processing>Compiler Tool菜单项,则出现QUARTUSII的编译器窗口,如图1-13所示,图中标明了全编译过程各个模块的功能。

图1-13 QUARTUSII编译器窗口

需要说明的是在进行设计文件的综合和分析,也可以单独打开某个分析综合过程不必进行全编译界面。当完成上述窗口的设定后,点击START按钮进行设计文件的全编译。如果文件有错,在软件的下方则会提示错误的原因和位置,以便于使用者进行修改直到设计文件无错。整个编译完成,软件会提示编译成功,如图1-14所示。

图1-14 全编译成功界面

4、管脚分配

在前面选择好一个合适的目标器件(在这个实验中选择为EP3C80F780C8),完成设计的分析综合过程,得到工程的数据文件以后,需要对设计中的输入、输出引脚指定到具体的器件管脚号码,指定管脚号码称为管脚分配或管脚锁定。这里介绍两种方法进行管脚锁定。

1)点击Assignments菜单下面的Assignment Editor,进入到引脚分配窗口。如图1-15所示。

图1-15 进入引脚分配界面

首先将要分配管脚的信号放置在To下方。双击To下方的《New》,如图1-15所示则会出现如图1-16所示界面。

图1-16 信号选择对话框

选择Node Finder…进入如图1-17所示的Node Finder对话框界面。按图1-17中样例设置参数。在Filter窗口选择Pins:all,在Named窗口中输入“*”,点击List在Nodes Found窗口出现所有信号的名称,点击中间的按钮则Selected Nodes窗口下方出现被选择的端口名称。双击OK按钮,完成设置。进入管脚分配窗口,如图1-18所示。

图1-17 Node Finder对话框

图1-18 管脚分配

在图1-18中以锁定端口A的管脚为例,其它端口的管脚锁定与其基本一致。选择端口A的对应Assignment Name 待其变为蓝色,双击之,出现下拉菜单选取如图1-18所示的Location (Accepts wildcards/groups)选项。选择端口A的对应V alue栏,待其变为蓝色,依照表1-2和表1-3所示的硬件与FPGA的管脚连接表(或附录),输入对应的管脚名AG12,按回车键,软件将自动将其改为PIN_AG12,同时蓝色选择条会自动跳转到Value栏的下一行,这表明软件已经将输入端口A分配到FPGA的AG12引脚上,如图1-19所示。

图1-19 给A端口进行管脚分配

用同样的方法,依照表1-2和表1-3所示的硬件与FPGA的管脚连接表(或附录),对其它端口进行管脚分配,如图1-20所示。

图1-20所有引脚全部分配结束后的软件窗口

2)点击Assignments菜单下面的Pin Planner(也可直接点击工具栏上的引脚分配按钮)出现如图1-21所示的所选目标芯片的管脚分布图。

图1-21 目标芯片的管脚分布图

与上面的方法相同,依照表1-2和表1-3所示的硬件与FPGA的管脚连接表(或附录),如端口A对应的管脚为AG12,则双击AG12管脚出现如图1-22所示对话框。

图1-22 管脚分配对话框

在图1-22对话框中的Node Name框中输入对应的端口名A或者通过下拉菜单选取对应的端口名称A,点击OK按钮,完成对端口A的管脚分配。

用相同的方法,依照下表1-4对其它端口进行管脚分配,管脚分配完后,如下图1-23所示。

图1-23所有引脚全部分配结束后的软件窗口

表 1-4 端口管脚分配表

在图1-23中,棕色标出的管脚为已被分配锁定的管脚。值得注意的是,当管脚分配完之后一定要进行再进行一次全编译,以使分配的管脚有效。 5、对设计文件进行仿真

1)创建一个仿真波形文件,选择QUARTUSII 软件File>New ,进行新建文件对话框。如图1-24所示。选取对话框的Verification/Debugging Files 标签页,从中选取Vector Waveform File ,点击OK 按钮,则打开了一个空的波形编辑器窗口,如图1-25所示。

图1-24 新建文件对话框 图1-25 波形编辑器

2)设置仿真结束时间,波形编辑器默认的仿真结束时间为1μS,根据仿真需要,可以自由设置仿真的结束时间。选择QUARTUSII 软件的Edit>End Time 命令,弹出线路束时间对

话框,在Time框办输入仿真结束时间,点击OK按钮完成设置。

3)加入输入、输出端口,在波形编辑器窗口左边的端口名列表区点击鼠标右键,在弹出的右键菜单中选择Insert>Insert Node or Bus…命令,在弹出的Insert Node or Bus对话框如图1-26所示界面中点击Node Finder…按钮。

图1-26 Insert Node or Bus对话框

在出现的Node Finder界面中,如图1-27所示,在Filter列表中选择Pins:all,在Named 窗口中输入“*”,点击List在Nodes Found窗口出现所有信号的名称,点击中间的按钮则Selected Nodes窗口下方出现被选择的端口名称。双击OK按钮,完成设置,回到图1-26所示的Insert Node or Bus对话框,双击OK按钮,所有的输入、输出端口将会在端口名列表区内显示出来,如图1-28所示。

图1-27 Node Finder对话框

图1-28 在波形编辑器中加入端口

4)编辑输入端口波形,即指定输入端口的逻辑电平变化,在如图1-28所示的波形编辑窗口中,选择要输入波形的输入端口如A端口,在端口名显示区左边的波形编辑器工具栏中有要输入的各种波形,其按钮说明如图1-29所示。根据仿真的需要输入波形。完成后如图1-30所示。最后选择软件的File>Save进行保存。

图1-29 波形编辑器工具栏

图1-30 编辑输入端口波形

5)指定仿真器设置,在仿真过程中有时序仿真和功能仿真之分,在这里介绍功能仿真。在QUARTUSII软件中选择Processing>Simulator Tool命令,打开仿真器工具窗口,如图1-31所示。

图1-31 仿真器工具窗口

按图1-31上的提示,首先产生功能仿真网表文件,点击产生功能仿真网表的按钮Generate Functional Simulation Netlist,产生功能仿真网表,然后点击开始仿真的START按钮开始进行仿真,直到仿真进度条为100%完成仿真。点击仿真报告窗口按钮Report,观察仿真波形。如图1-32所示。

图1-32 仿真波形

6、从设计文件到目标器件的加载

完成对器件的加载有两种形式,一种是对目标器件进行加载文件,一种是对目标器件的配置芯片进行加载。这里我们介绍对目标器件EP3C80F780C8进行加载的方法。

1)使用下载电缆将PC机与实验系统连接起来。

2)选择QUARTUSII软件的Tool>Programmer命令,进行编程器窗口,如图1-33所示,如果没有设置编程硬件,则编程硬件类型为No Hardware,需要对编程硬件进行设置。点击Hardware Setup…编程硬件设置按钮,进行如图1-34所示的编程硬件设置对话框。

图1-33 编程器窗口

图1-34 编程器硬件设置对话框

3)点击Add Hardware按钮,出现Add Hardware对话框,如图1-35所示。

图1-35 编程硬件选择对话框

4)在Add Hardware对话框中,从Hardware type列表中选择所需要硬件类型,如果是USB接口的请参照用户使用手册中的USB电缆的安装与使用,如果使用的是并口下载线则选取如图1-35所示的硬件类型,点击OK按钮,完成对硬件类型的设置。回到编程器硬件设置窗口,点击Close按钮退出设置。则在编程器对话框中的编程硬件类型会出现刚才选取的编程器硬件。

5)如果软件已运行一个工程,则在打开编程器的时候,编程器窗口会自动出现这个工程文件要加载到目标器件的文件,如果要加载其它文件可以从其它地方进行添加更改。选好加载文件后,再点选Progam/Configure,编程模式选取JTAG模式,点击STRAT进行文件加载,直到加载进度变为100%,文件成功加载完成。

五、实验现象与结果

文件加载到目标器件后,拨动拨动开关,LED灯会按表1-1所示的真值表对应的点亮。

实验五--时序逻辑电路实验报告

实验五时序逻辑电路(计数器和寄存器)-实验报告 一、实验目的 1.掌握同步计数器设计方法与测试方法。 2.掌握常用中规模集成计数器的逻辑功能和使用方法。 二、实验设备 设备:THHD-2型数字电子计数实验箱、示波器、信号源 器件:74LS163、74LS00、74LS20等。 三、实验原理和实验电路 1.计数器 计数器不仅可用来计数,也可用于分频、定时和数字运算。在实际工程应用中,一般很少使用小规模的触发器组成计数器,而是直接选用中规模集成计数器。 2.(1) 四位二进制(十六进制)计数器74LS161(74LS163) 74LSl61是同步置数、异步清零的4位二进制加法计数器,其功能表见表5.1。 74LSl63是同步置数、同步清零的4位二进制加法计数器。除清零为同步外,其他功能与74LSl61相同。二者的外部引脚图也相同,如图5.1所示。 表5.1 74LSl61(74LS163)的功能表 清零预置使能时钟预置数据输入输出 工作模式R D LD EP ET CP A B C D Q A Q B Q C Q D 0 ××××()××××0 0 0 0 异步清零 1 0 ××D A D B D C D D D A D B D C D D同步置数 1 1 0 ××××××保持数据保持 1 1 ×0 ×××××保持数据保持 1 1 1 1 ××××计数加1计数3.集成计数器的应用——实现任意M进制计数器 一般情况任意M进制计数器的结构分为3类,第一类是由触发器构成的简单计数器。第二类是由集成二进制计数器构成计数器。第三类是由移位寄存器构成的移位寄存型计数器。第一类,可利用时序逻辑电路的设计方法步骤进行设计。第二类,当计数器的模M较小时用一片集成计数器即可以实现,当M较大时,可通过多片计数器级联实现。两种实现方法:反馈置数法和反馈清零法。第三类,是由移位寄存器构成的移位寄存型计数器。 4.实验电路: 十进制计数器 同步清零法 同步置数法

电力电子电路分析与仿真实验报告模板剖析

电力电子电路分析与仿真 实验报告 学院:哈尔滨理工大学荣成学院 专业: 班级: 姓名: 学号: 年月日

实验1降压变换器 一、实验目的: 设计一个降压变换器,输入电压为220V,输出电压为50V,纹波电压为输出电压的0.2%,负载电阻为20欧,工作频率分别为220kHz。 二、实验内容: 1、设计参数。 2、建立仿真模型。 3、仿真结果与分析。 三、实验用设备仪器及材料: MATLAB仿真软件 四、实验原理图: 五、实验方法及步骤: 1.建立一个仿真模型的新文件。在MATLAB的菜单栏上点击File,选择New,再在弹出菜单中选择Model,这时出现一个空白的仿真平台,在这个平台上可以绘制电路的仿真模型。 2.提取电路元器件模块。在仿真模型窗口的菜单上点击Simulink调出模型库浏览器,在模型库中提取所需的模块放到仿真窗口。

3.仿真模型如图所示。 六、参数设置 七、仿真结果分析

实验2升压变换器 一、实验目的: 将一个输入电压在3~6V的不稳定电源升压到稳定的15V,纹波电压低于0.2%,负载电阻10欧,开关管选择MOSFET,开关频率为40kHz,要求电感电流连续。 二、实验内容: 1、设计参数。 2、建立仿真模型。 3、仿真结果与分析。 三、实验用设备仪器及材料: MATLAB仿真软件 五、实验原理图: 五、实验方法及步骤: 1.建立一个仿真模型的新文件。在MATLAB的菜单栏上点击File,选择New,再在弹出菜单中选择Model,这时出现一个空白的仿真平台,在这个平台上可以绘制电路的仿真模型。 2.提取电路元器件模块。在仿真模型窗口的菜单上点击Simulink调出模型库浏览器,在模型库中提取所需的模块放到仿真窗口。

东南大学 数字电路实验 第4章_时序逻辑电路

东南大学电工电子实验中心 实验报告 课程名称:数字逻辑电路设计实践 第 4 次实验 实验名称:基本时序逻辑电路 院(系):信息科学与工程学院专业:信息工程姓名:学号: 实验室: 实验组别: 同组人员:无实验时间: 评定成绩:审阅教师:

时序逻辑电路 一、实验目的 1.掌握时序逻辑电路的一般设计过程; 2.掌握时序逻辑电路的时延分析方法,了解时序电路对时钟信号相关参数的基本要求; 3.掌握时序逻辑电路的基本调试方法; 4.熟练使用示波器和逻辑分析仪观察波形图,并会使用逻辑分析仪做状态分析。 二、实验原理 1.时序逻辑电路的特点(与组合电路的区别): ——具有记忆功能,任一时刻的输出信号不仅取决于当时的输出信号,而且还取决于电路原来的值,或者说还与以前的输入有关。 2.时序逻辑电路的基本单元——触发器(本实验中只用到D触发器) 触发器实现状态机(流水灯中用到) 3.时序电路中的时钟 1)同步和异步(一般都是同步,但实现一些任意模的计数器时要异步控制时钟端) 2)时钟产生电路(电容的充放电):在内容3中的32768Hz的方波信号需要自己通过 电路产生,就是用到此原理。 4.常用时序功能块 1)计数器(74161) a)任意进制的同步计数器:异步清零;同步置零;同步置数;级联 b)序列发生器 ——通过与组合逻辑电路配合实现(计数器不必考虑自启动) 2)移位寄存器(74194) a)计数器(一定注意能否自启动) b)序列发生器(还是要注意分析能否自启动) 三、实验内容 1.广告流水灯 a.实验要求 用触发器、组合函数器件和门电路设计一个广告流水灯,该流水等由8个LED组成,工作时始终为1暗7亮,且这一个暗灯循环右移。 ①写出设计过程,画出设计的逻辑电路图,按图搭接电路。 ②将单脉冲加到系统时钟端,静态验证实验电路。 ③将TTL连续脉冲信号加到系统时钟端,用示波器和逻辑分析仪观察并记录时钟脉冲 CLK、触发器的输出端Q2、Q1、Q0和8个LED上的波形。 b.实验数据 ①设计电路。 1)问题分析 流水灯的1暗7亮对应8个状态,故可采用3个触发器实现;而且题目要求输出8个信号控制8个灯的亮暗,故可以把3个触发器的输出加到3-8译码器的控制端,对应的8个译码器输出端信号控制8个灯的亮暗。

组合逻辑电路实验设计

H a r b i n I n s t i t u t e o f T e c h n o l o g y 组合逻辑电路实验设计 血型匹配情况判断电路 一、实验题目: 人的血型有A、B、AB、O四种。输血时输血者的血型与受血者血型必须符合图1中用箭头指示的授受关系。判断输血者与受血者的血型是否符合上述规定,要求用八选一数据选择器(74LS151)及与非门(74LS00)实现。(提示:用两个逻辑变量的4种取值表示输血者的血型,例如00代表A、01代表 B、10代表AB、11代表O。) 图1 二、电路设计: 方案一: 解: 1、题目分析

根据题意,确定有4个输入变量,设为X、Y、M、N;输出变量为P。 其中,用两个逻辑变量X、Y的四中取值表示输血者的血型:00代表A型、01代表B型、10代表AB型、11代表O型。 用另外两个逻辑变量M、N的四种取值表示受血者的血型:00代表A型、01代表B型、10代表AB型、11代表O型。 逻辑输出变量P代表输血者与受血者的血型符合情况:1代表血型符合,0代表血型不符合。 题目中要求用八选一数据选择器(74LS151)及与非门(74LS00)实现电路设计。 2、列写输入与输出变量真值表: 真值表如下图所示 3、逻辑表达式: 根据真值表画出卡诺图:

卡诺图如右图所示: 用八选一数据选择器(74LS151),所以输出逻辑表达式写成最小项和的形式:设X 、Y 、M 为选择变量,X 为高位。 逻辑函数P 的与或标注型表达式: P (X ,Y ,M ,N ) X Y M N X Y M N X Y M N X Y M N X Y M N =+++++ 4、比较表达式: 与标准表达式比较得:267P Nm N m(0,1,3,5)m m =+∑++ 所以,数据选择器中EN=0,0135D D D D N ==== D 2=N ,D 4=0, D 6=D 7=1, 5、逻辑电路图:

北航电子电路设计训练模拟分实验报告

北航电子电路设计训练模拟部分实验报告

————————————————————————————————作者:————————————————————————————————日期:

电子电路设计训练模拟部分实验 实验报告

实验一:共射放大器分析与设计 1.目的: (1)进一步了解Multisim的各项功能,熟练掌握其使用方法,为后续课程打好基础。 (2)通过使用Multisim来仿真电路,测试如图1所示的单管共射放大电路的静态工作点、电压放大倍数、输入电阻和输出电阻,并观察 静态工作点的变化对输出波形的影响。 (3)加深对放大电路工作原理的理解和参数变化对输出波形的影响。 (4)观察失真现象,了解其产生的原因。 图 1 实验一电路图 2.步骤: (1)请对该电路进行直流工作点分析,进而判断管子的工作状态。 (2)请利用软件提供的各种测量仪表测出该电路的输入电阻。 (3)请利用软件提供的各种测量仪表测出该电路的输出电阻。 (4)请利用软件提供的各种测量仪表测出该电路的幅频、相频特性曲线。 (5)请利用交流分析功能给出该电路的幅频、相频特性曲线。 (6)请分别在30Hz、1KHz、100KHz、4MHz和100MHz这5个频点利用示波器测出输入和输出的关系,并仔细观察放大倍数和相位差。 (提示:在上述实验步骤中,建议使用普通的2N2222A三极管,并请注 意信号源幅度和频率的选取,否则将得不到正确的结果。) 3.实验结果及分析: (1)根据直流工作点分析的结果,说明该电路的工作状态。 由simulate->analyses->DC operating point,可测得该电路的静态工作点为:

数字逻辑电路实验报告

数字逻辑电路 实验报告 指导老师: 班级: 学号: 姓名: 时间: 第一次试验一、实验名称:组合逻辑电路设计

二、试验目的: 1、掌握组合逻辑电路的功能测试。 2、验证半加器和全加器的逻辑功能。 3、、学会二进制数的运算规律。 三、试验所用的器件和组件: 二输入四“与非”门组件3片,型号74LS00 四输入二“与非”门组件1片,型号74LS20 二输入四“异或”门组件1片,型号74LS86 四、实验设计方案及逻辑图: 1、设计一位全加/全减法器,如图所示: 电路做加法还是做减法是由M决定的,当M=0时做加法运算,当M=1时做减法运算。当作为全加法器时输入信号A、B和Cin分别为加数、被加数和低位来的进位,S 为和数,Co为向上的进位;当作为全减法时输入信号A、B和Cin分别为被减数,减数和低位来的借位,S为差,Co为向上位的借位。 (1)输入/输出观察表如下: (2)求逻辑函数的最简表达式 函数S的卡诺图如下:函数Co的卡诺如下: 化简后函数S的最简表达式为: Co的最简表达式为:

(3)逻辑电路图如下所示: 2、舍入与检测电路的设计: 用所给定的集成电路组件设计一个多输出逻辑电路,该电路的输入为8421码,F1为“四舍五入”输出信号,F2为奇偶检测输出信号。当电路检测到输入的代码大于或等于5是,电路的输出F1=1;其他情况F1=0。当输入代码中含1的个数为奇数时,电路的输出F2=1,其他情况F2=0。该电路的框图如图所示: (1)输入/输出观察表如下: B8 B4 B2 B1 F2 F1 0 0 0 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 1 1 0 0 0 1 0 0 1 0 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 1 1 1 0 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 1 1 1 1 0 0 0 1 1 1 0 1 1 1

实验一组合逻辑电路设计

实验一 组合逻辑电路的设计 一、实验目的: 1、 掌握组合逻辑电路的设计方法。 2、 掌握组合逻辑电路的静态测试方法。 3、 加深FPGA 设计的过程,并比较原理图输入和文本输入的优劣。 4、 理解“毛刺”产生的原因及如何消除其影响。 5、 理解组合逻辑电路的特点。 二、实验的硬件要求: 1、 EDA/SOPC 实验箱。 2、 计算机。 三、实验原理 1、组合逻辑电路的定义 数字逻辑电路可分为两类:组合逻辑电路和时序逻辑电路。组合逻辑电路中不包含记忆单元(触发器、锁存器等),主要由逻辑门电路构成,电路在任何时刻的输出只和当前时刻的输入有关,而与以前的输入无关。时序电路则是指包含了记忆单元的逻辑电路,其输出不仅跟当前电路的输入有关,还和输入信号作用前电路的状态有关。 通常组合逻辑电路可以用图1.1所示结构来描述。其中,X0、X1、…、Xn 为输入信号, L0、L1、…、Lm 为输出信号。输入和输出之间的逻辑函数关系可用式1.1表示: 2、组合逻辑电路的设计方法 组合逻辑电路的设计任务是根据给定的逻辑功能,求出可实现该逻辑功能的最合理组 合电路。理解组合逻辑电路的设计概念应该分两个层次:(1)设计的电路在功能上是完整的,能够满足所有设计要求;(2)考虑到成本和设计复杂度,设计的电路应该是最简单的,设计最优化是设计人员必须努力达到的目标。 在设计组合逻辑电路时,首先需要对实际问题进行逻辑抽象,列出真值表,建立起逻辑模型;然后利用代数法或卡诺图法简化逻辑函数,找到最简或最合理的函数表达式;根据简化的逻辑函数画出逻辑图,并验证电路的功能完整性。设计过程中还应该考虑到一些实际的工程问题,如被选门电路的驱动能力、扇出系数是否足够,信号传递延时是否合乎要求等。组合电路的基本设计步骤可用图1.2来表示。 3、组合逻辑电路的特点及设计时的注意事项 ①组合逻辑电路的输出具有立即性,即输入发生变化时,输出立即变化。(实际电路中 图 1.1 组合逻辑电路框图 L0=F0(X0,X1,···Xn) · · · Lm=F0(X0,X1,···Xn) (1.1) 图 1.2 组合电路设计步骤示意图图

电子电路实验报告

.东南大学电工电子实验中心 实验报告 课程名称:电子电路实践 第三、四次实验 实验名称:单级低频电压放大器 院(系):专业: 姓名:学号: 实验室:105 实验组别:无 同组人员:无 实验时间:2012年4月15日2012年4月22日评定成绩:审阅老师:

实验目的: 1、掌握单级放大电路的工程估算、安装和调试 2、了解三极管各项基本器件参数、工作点、偏置电路、输入阻抗、输出阻抗、增益、幅频 特性等的基本概念以及测量方法 3、掌握基本的模拟电路的故障检查和排除方法,深化示波器、稳压电源、交流电压表、 函数发生器的使用技能训练 三、预习思考 1、器件资料: 上网查询本实验所用的三极管9013的数据手册,画出三极管封装示意图,标出每个管 将其扁平的一面正对自己,管脚朝下,则从左至右三个管脚依次为e,b,c;封装图如下:

2、 偏置电路: 教材图1-3中偏置电路的名称是什么,简单解释是如何自动调节BJT (半导体三极管)的电流I C 以实现稳定直流工作点的作用的,如果R 1 、R 2取得过大能否再起到稳定直流工作点的作用,为什么? 答: 共发射极偏置电路。 利用12,R R 构成的分压器给三极管基极b 提供电位B U ,又1 BQ I I ,基极电位B U 可近 似地由下式求得:2 12 B C C R U V R R ≈ ?+ 当环境温度升高时,)(CQ EQ I I 增加,电阻E R 上的压降增大,由于基极电位B U 固定,加到发射结上的电压减小,BQ I 减小,从而使CQ I 减小,通过这样的自动调节过程使CQ I 恒定,即实现了稳定直流工作点的作用。 如果12,R R 取得过大,则1I 减小,不能满足12,R R 支路中的电流1 BQ I I 的条件,此时, BQ V 在温度变化时无法保持不变,也就不能起到稳定直流工作点的作用。 3、 电压增益: (I) 对于一个低频放大器,一般希望电压增益足够大,根据您所学的理论知识,分析有 哪些方法可以提高电压增益,分析这些方法各自优缺点,总结出最佳实现方案。 答: 0()() 26(1) C L C L u i be b CQ u R R R R A mV u r r I βββ= =-=- ++ 所以提高电压增益的方法有: 1)增大集电极电阻R C 和负载R L 。缺点:R C 太大,受V CC 的限制,会使电路不能正常工作。 2)Q 点适当选高,即增大I CQ 。缺点:电路耗电大、噪声大 3)选用多级放大电路级联形式来获取足够大的电压增益。缺点:电路较复杂,输出信

组合逻辑电路设计实验报告

组合逻辑电路设计实验报告 1.实验题目 组合电路逻辑设计一: ①用卡诺图设计8421码转换为格雷码的转换电路。 ②用74LS197产生连续的8421码,并接入转换电路。 ③记录输入输出所有信号的波形。 组合电路逻辑设计二: ①用卡诺图设计BCD码转换为显示七段码的转换电路。 ②用74LS197产生连续的8421码,并接入转换电路。 ③把转换后的七段码送入共阴极数码管,记录显示的效果。 2.实验目的 (1)学习熟练运用卡诺图由真值表化简得出表达式 (2)熟悉了解74LS197元件的性质及其使用 3.程序设计 格雷码转化: 真值表如下:

卡诺图: 1 010100D D D D D D G ⊕=+= 2 121211D D D D D D G ⊕=+=

3232322D D D D D D G ⊕=+= 33D G = 电路原理图如下: 七段码显示: 真值表如下: 卡诺图:

2031020231a D D D D D D D D D D S ⊕++=+++= 10210102b D D D D D D D D S ⊕+=++= 201c D D D S ++= 2020101213d D D D D D D D D D D S ++++= 2001e D D D D S +=

2021013f D D D D D D D S +++= 2101213g D D D D D D D S +++= 01213g D D D D D S +⊕+= 电路原理图如下:

4.程序运行与测试 格雷码转化: 逻辑分析仪显示波形:

电子电路综合实验报告

电子电路综合实验报 课题名称:简易晶体管图示仪 专业:通信工程 班级: 学号: 姓名: 班内序号:

一、课题名称: 简易晶体管图示仪 二、摘要和关键词: 本报告主要介绍简易晶体管的设计实现方法,以及实验中会出现的问题及解决方法。给出了其中给出了各个分块电路的电路图和设计说明,功能说明,还有总电路的框图,电路图,给出实验中示波器上的波形和其他一些重要的数据。在最后提到了在实际操作过程中遇到的困难和解决方法,还有本次实验的结论与总结。 方波、锯齿波、阶梯波、特征曲线。 三、设计任务要求: 1. 基本要求:⑴设计一个阶梯波发生器,f≥500Hz,Uopp≥3V,阶数N=6; ⑵设计一个三角波发生器,三角波Vopp≥2V; ⑶设计保护电路,实现对三极管输出特性的测试。 2. 提高要求:⑴可以识别NPN,PNP管,并正确测试不同性质三极管; ⑵设计阶数可调的阶梯波发生器。 四、设计思路: 本试验要求用示波器稳定显示晶体管输入输出特性曲线。我的设计思路是先用NE555时基振荡器产生的方波和带直流的锯齿波。然后将产生的方波作为16进制计数器74LS169的时钟信号,74LS169是模16的同步二进制计数器,可以通过四位二进制输出来计时钟沿的个数,实验中利用它的三位输出为多路开关CD4051提供地址。CD4051是一个数据选择器,根据16进制计数器74LS169给出的地址进行选择性的输出,来输出阶梯波,接入基极。由双运放LF353对NE555产生的锯齿波进行处理,产生符合要求的锯齿波作为集电极输入到三极管集电极。最后扫描得到NPN的输出特性曲线。总体结构框图:

五、分块电路和总体电路的设计: ⑴用NE555产生方波及锯齿波,电路连接如下。 图2.方波产生电路 NE555的3口产生方波,2口产生锯齿波,方波振荡器周期T=3 R1+R2 C1,占空比D= R1+R2 /(R1+2R2),为使阶梯波频率足够大,选C1=0.01uF,同时要产生锯齿波,方波的占空比应尽量大,当R1远大于R2时,占空比接近1,选R1为20kΩ,R2为100Ω。 ⑵阶梯波电路: 用NE555时基振荡器产生的方波作为16进制计数器74LS169的时钟信号,74LS169是模16的同步二进制计数器,可以通过四位二进制输出来计时钟沿得个数,实验中利用它的三位输出为多路开关CD4051的输入Qa、Qb、Qc提供地址。直流通路是由5个100Ω的电阻组成的电阻分压网络以产生6个不同的电压值,根据16进制计数器74LS169给出的地址进行选择性的输出,而它的管脚按照一定的顺序接入5个等值电阻然后在第一个电阻接入5V 的电压,原本是管脚接7个电阻可以产生8阶阶梯波,将三个管脚短接,即可产生6阶,这里选择了4,2,5接地,使输出为6阶阶梯波,以满足基本要求中的阶梯波幅度大于3V的要求。另一路信号通道的输入则接被显示的信号;通过地址信号Qa、Qb、Qc对两回路信号同步进行选通。这样,用示波器观察便可得到有6阶的阶梯波。 仿真时在Multisim上没有现成元件CD4051,这里选择了与它功能相近的8通道模拟多路复用器ADG528F代替。它是根据A1、A2、A3口的输入来选择输出S1-S8中各路电压值。

时序逻辑电路实验报告

时序逻辑电路实验报告 一、实验目的 1. 加深理解时序逻辑电路的工作原理。 2. 掌握时序逻辑电路的设计方法。 3. 掌握时序逻辑电路的功能测试方法。 二、实验环境 1、PC机 2、Multisim软件工具 三、实验任务及要求 1、设计要求: 要求设计一个计数器完成1→3→5→7→9→0→2→4→6→8→1→…的循环计数(设初值为1),并用一个数码管显示计数值(时钟脉冲频率为约1Hz)。 2、实验内容: (1)按要求完成上述电路的功能。 (2)验证其功能是否正确。 四、实验设计说明(简述所用器件的逻辑功能,详细说明电路的设计思路和过程) 首先根据题目要求(即要完成1到9的奇数循环然后再0到8的偶数循环)画出真值表,如下图。画出真值表后,根据真值表画出各次态对应的卡诺图,如下图。然后通过化简卡诺图,得到对应的次态的状态方 程;

然后开始选择想要用于实现的该电路的器件,由于老师上课时所用的例题是用jk触发器完成的,我觉得蛮不错的,也就选择了同款的jk触发器;选好器件之后,根据状态方程列出jk触发器的驱动方程。然后根据驱动方程连接好线路图,为了连接方便,我也在纸上预先画好了连接图,以方便照着连接。接下来的工作就是在multisim上根据画好的草图连接器件了,然后再接上需要的显示电路,即可完成。

五、实验电路(画出完整的逻辑电路图和器件接线图)

六、总结调试过程所遇到的问题及解决方法,实验体会 1、设计过程中遇到过哪些问题?是如何解决的? 在设计过程中最大的问题还是忘记设计的步骤吧,因为老师是提前将实验内容已经例题讲解给我们听的,而我开始实验与上课的时间相隔了不短的时间,导致上课记下来的设计步骤忘得七七八八,不过好在是在腾讯课堂上得网课,有回放,看着回放跟着老师的思路走一遍后,问题也就迎刃而解了,后面的设计也就是将思路步骤走一遍而已,没再遇到什么困难。 2、通过此次时序逻辑电路实验,你对时序逻辑电路的设计是否有更清楚的认识?若没有,请分析原因;若有,请说明在哪些方面更加清楚。 通过这次时序逻辑电路实验,我最大的感触就是实验设计的思路与步骤一定要清晰,思路与步骤的清晰与否真的是造成实验设计是否困难的最重要的因素。清晰的话,做起实验来如同顺水推舟,毫不费力,不清晰的话则如入泥潭,寸步难行。

数电实验报告 实验二 组合逻辑电路的设计

实验二组合逻辑电路的设计 一、实验目的 1.掌握组合逻辑电路的设计方法及功能测试方法。 2.熟悉组合电路的特点。 二、实验仪器及材料 a) TDS-4数电实验箱、双踪示波器、数字万用表。 b) 参考元件:74LS86、74LS00。 三、预习要求及思考题 1.预习要求: 1)所用中规模集成组件的功能、外部引线排列及使用方法。 2) 组合逻辑电路的功能特点和结构特点. 3) 中规模集成组件一般分析及设计方法. 4)用multisim软件对实验进行仿真并分析实验是否成功。 2.思考题 在进行组合逻辑电路设计时,什么是最佳设计方案? 四、实验原理 1.本实验所用到的集成电路的引脚功能图见附录 2.用集成电路进行组合逻辑电路设计的一般步骤是: 1)根据设计要求,定义输入逻辑变量和输出逻辑变量,然后列出真值表; 2)利用卡络图或公式法得出最简逻辑表达式,并根据设计要求所指定的门电路或选定的门电路,将最简逻辑表达式变换为与所指定门电路相应的形式; 3)画出逻辑图; 4)用逻辑门或组件构成实际电路,最后测试验证其逻辑功能。 五、实验内容 1.用四2输入异或门(74LS86)和四2输入与非门(74LS00)设计一个一位全加器。 1)列出真值表,如下表2-1。其中A i、B i、C i分别为一个加数、另一个加数、低位向本位的进位;S i、C i+1分别为本位和、本位向高位的进位。 2)由表2-1全加器真值表写出函数表达式。

3)将上面两逻辑表达式转换为能用四2输入异或门(74LS86)和四2输入与非门(74LS00)实现的表达式。 4)画出逻辑电路图如图2-1,并在图中标明芯片引脚号。按图选择需要的集成块及门电路连线,将A i、B i、C i接逻辑开关,输出Si、Ci+1接发光二极管。改变输入信 号的状态验证真值表。 2.在一个射击游戏中,每人可打三枪,一枪打鸟(A),一枪打鸡(B),一枪打兔子(C)。 规则是:打中两枪并且其中有一枪必须是打中鸟者得奖(Z)。试用与非门设计判断得奖的电路。(请按照设计步骤独立完成之) 五、实验报告要求: 1.画出实验电路连线示意图,整理实验数据,分析实验结果与理论值是否相等。 2.设计判断得奖电路时需写出真值表及得到相应输出表达式以及逻辑电路图。 3.总结中规模集成电路的使用方法及功能。

电子电路综合设计实验报告

电子电路综合设计实验报告 实验5自动增益控制电路的设计与实现 学号: 班序号:

一. 实验名称: 自动增益控制电路的设计与实现 二.实验摘要: 在处理输入的模拟信号时,经常会遇到通信信道或传感器衰减强度大幅变化的情况; 另外,在其他应用中,也经常有多个信号频谱结构和动态围大体相似,而最大波幅却相差甚多的现象。很多时候系统会遇到不可预知的信号,导致因为非重复性事件而丢失数据。此时,可以使用带AGC(自动增益控制)的自适应前置放大器,使增益能随信号强弱而自动调整,以保持输出相对稳定。 自动增益控制电路的功能是在输入信号幅度变化较大时,能使输出信号幅度稳定不变或限制在一个很小围变化的特殊功能电路,简称为AGC 电路。本实验采用短路双极晶体管直接进行小信号控制的方法,简单有效地实现AGC功能。 关键词:自动增益控制,直流耦合互补级,可变衰减,反馈电路。 三.设计任务要求 1. 基本要求: 1)设计实现一个AGC电路,设计指标以及给定条件为: 输入信号0.5?50mVrm§ 输出信号:0.5?1.5Vrms; 信号带宽:100?5KHz; 2)设计该电路的电源电路(不要际搭建),用PROTE软件绘制完整的电路原理图(SCH及印制电路板图(PCB 2. 提高要求: 1)设计一种采用其他方式的AGC电路; 2)采用麦克风作为输入,8 Q喇叭作为输出的完整音频系统。 3. 探究要求: 1)如何设计具有更宽输入电压围的AGC电路; 2)测试AGC电路中的总谐波失真(THD及如何有效的降低THD 四.设计思路和总体结构框图 AGC电路的实现有反馈控制、前馈控制和混合控制等三种,典型的反馈控制AGC由可变增益放大器(VGA以及检波整流控制组成(如图1),该实验电路中使用了一个短路双极晶体管直接进行小信号控制的方法,从而相对简单而有效实现预通道AGC的功能。如图2,可变分压器由一个固定电阻R和一个可变电阻构成,控制信号的交流振幅。可变电阻采用基极-集电极短路方式的双极性晶体管微分电阻实现为改变Q1电阻,可从一个由电压源V REG和大阻值电阻F2组成的直流源直接向短路晶体管注入电流。为防止Rb影响电路的交流电压传输特性。R2的阻值必须远大于R1。

实验五时序逻辑电路实验报告

实验五时序逻辑电路(计数器和寄存器)-实验报告一、实验目的 1掌握同步计数器设计方法与测试方法。 2 ?掌握常用中规模集成计数器的逻辑功能和使用方法。 二、实验设备 设备:THHD-2型数字电子计数实验箱、示波器、信号源 器件:74LS163、74LS00、74LS20 等。 三、实验原理和实验电路 1计数器 计数器不仅可用来计数,也可用于分频、定时和数字运算。在实际工程应用中,一般很少使用小规模的触发器组成计数器,而是直接选用中规模集成计数器。 2. (1)四位二进制(十六进制)计数器74LS161 (74LS163) 74LS161是同步置数、异步清零的4位二进制加法计数器,其功能表见表。 74LS163是同步置数、同步清零的4位二进制加法计数器。除清零为同步外,其他功能与74LS161相同。二者的外部引脚图也相同,如图所示。 3.集成计数器的应用一一实现任意M进制计数器

Eft CR IK rh th Ih ET 7-I1A C1M /( 制扭环计数 同步清零法器 同步置数法 般情况任意M 进制计数器的结构分为 3类,第一类是由触发器构成的简单计数器。 第 二类是 由集成二进制计数器构成计数器。第三类是由移位寄存器构成的移位寄存型计数器。 第一类,可利用时序逻辑电路的设计方法步骤进行设计。 第二类,当计数器的模 M 较小时用 一片集成计数器即可以实现,当 M 较大时,可通过多片计数器级联实现。两种实现方法:反 馈置数法和反馈清零法。第三类,是由移位寄存器构成的移位寄存型计数器。 4?实验电路: 十进制计数器 1

图74LS161 (74LS163)外部引脚图 四、实验内容及步骤 1 .集成计数器实验 (1)按电路原理图使用中规模集成计数器74LS163和与非门74LS00,连接成一个同步置数或同步清零十进制计数器,并将输出连接至数码管或发光二极管。然后使用单次脉冲作为触发输入,观察数码管或发光二极管的变化,记录得到电路计数过程和状态的转换规律。 (2)根据电路图,首先用D触发器74LS7474构成一个不能自启的六进制扭环形计数器,同样将输出连接至数码管或发光二极管。然后使用单次脉冲作为触发输入,观察数码管或发光二 极管的变化,记录得到电路计数过程和状态的转换规律。注意观察电路是否能自启,若不能自启,则将电路置位有效状态。接下来再用D触发器74LS7474构成一个能自启的六进制扭环 形计数器,重复上述操作。 2?分频实验 依据实验原理图用74LS163及74LS00组成一个具有方波输出的六分频电路。选择适当时钟输入方式及频率(CP接连续波脉冲),用双踪示波器观察并记录时钟与分频输出信号的时序波形。 五、实验结果及数据分析 1 .集成计数实验同步清零和同步置数的十进制加一计数器状态转换过程分别如下所示: 0000 : 0001 : 0010 : 0011 ; 0100 爲00*卄庇爲爲卄yh 六进制扭环形计数器的状态转换过程如下:

组合逻辑电路实验报告

组合逻辑电路实验报告

图6-1:O型静态险象 如图6-1所示电路 其输出函数Z=A+A,在电路达到稳定时,即静态时,输出F 总是1。然而在输入A变化时(动态时)从图6-1(b)可见,在输出Z的某些瞬间会出现O,即当A经历1→0的变化时,Z出现窄脉冲,即电路存在静态O型险象。 进一步研究得知,对于任何复杂的按“与或”或“或与”函数式构成的组合电路中,只要能成为A+A或AA的形式,必然存在险象。为了消除此险象,可以增加校正项,前者的校正项为被赋值各变量的“乘积项”,后者的校正项为被赋值各变量的“和项”。 还可以用卡诺图的方法来判断组合电路是否存在静态险象,以及找出校正项来消除静态险象。 实验设备与器件 1.+5V直流电源 2.双踪示波器 3.连续脉冲源 4.逻辑电平开关 5.0-1指示器

(3)根据真值表画出逻辑函数Si、Ci的卡诺图 (4)按图6-5要求,选择与非门并接线,进行测试,将测试结果填入下表,并与上面真值表进行比较逻辑功能是否一致。 4.分析、测试用异或门、或非门和非门组成的全加器逻辑电路。 根据全加器的逻辑表达式

全加和Di =(Ai⊕Bi)⊕Di-1 进位Gi =(Ai⊕Bi)·Di-1+Ai·Bi 可知一位全加器可以用两个异或门和两个与门一个或门组成。(1)画出用上述门电路实现的全加器逻辑电路。 (2)按所画的原理图,选择器件,并在实验箱上接线。(3)进行逻辑功能测试,将结果填入自拟表格中,判断测试是否正确。 5.观察冒险现象 按图6-6接线,当B=1,C=1时,A输入矩形波(f=1MHZ 以上),用示波器观察Z输出波形。并用添加校正项方法消除险象。

实验二--组合逻辑电路的设计与测试

实验二组合逻辑电路的设计与测试 一、实验目的 1、掌握组合逻辑电路的分析与设计方法。 2、加深对基本门电路使用的理解。 二、实验原理 1、组合电路是最常用的逻辑电路,可以用一些常用的门电路来组合完成具有其他 功能的门电路。例如,根据与门的逻辑表达式Z= AB =得知,可以用两 个非门和一个或非门组合成一个与门,还可以组合成更复杂的逻辑关系。 2、分析组合逻辑电路的一般步骤是: 1)由逻辑图写出各输出端的逻辑表达式; 2)化简和变换各逻辑表达式; 3)列出真值表; 4) 根据真值表和逻辑表达式对逻辑电路进行分析,最后确定其功能。 3、设计组合逻辑电路的一般步骤与上面相反,是: 1)根据任务的要求,列出真值表; 2)用卡诺图或代数化简法求出最简的逻辑表达式; 3)根据表达式,画出逻辑电路图,用标准器件构成电路; 4)最后,用实验来验证设计的正确性。 4、组合逻辑电路的设计举例 1)用“与非门”设计一个表决电路。当四个输入端中有三个或四个“1”时, 输出端才为“1”。 设计步骤: 根据题意,列出真值表如表2-1所示,再添入卡诺图表2-2中。 表2-1 表决电路的真值表 表2-2 表决电路的卡诺图 然后,由卡诺图得出逻辑表达式,并演化成“与非”的形式: ABD CDA BCD ABC Z+ + + = B A+

? = ? ABC? ACD BCD ABC 最后,画出用“与非门”构成的逻辑电路如图2-1所示: 图2-1 表决电路原理图 输入端接至逻辑开关(拨位开关)输出插口,输出端接逻辑电平显示端口,自拟真值表,逐次改变输入变量,验证逻辑功能。 三、实验设备与器材 1.数字逻辑电路实验箱。 2.数字逻辑电路实验箱扩展板。 3.数字万用表。 4.芯片74LS00、74LS02、74LS04、74LS10、74LS20。 四、实验内容实验步骤 1、完成组合逻辑电路的设计中的两个例子。 2、设计一个四人无弃权表决电路(多数赞成则提议通过),要求用四2输入与非门 来实现。 3、用与非门74LS00和异或门74LS86设计一可逆的4位码变换器。 要求: 1)当控制信号C=1时,它将8421码转换成为格雷码;当控制信号C=0时,它 将格雷码转换成为8421码; 2)写出设计步骤,列出码变换关系真值表并画出逻辑电路图; 3)安装电路并测试逻辑电路的功能。 五、实验预习要求 1、复习各种基本门电路的使用方法。 2、实验前,画好实验用的电路图和表格。 3、自己参考有关资料画出实验内容2、3、4中的原理图,找出实验将要使用的芯 片,以备实验时用。 六、实验报告要求 1、将实验结果填入自制的表格中,验证设计是否正确。 2、总结组合逻辑电路的分析与设计方法。

电子线路设计与制作实验报告

电子线路设计与制作 实验报告 班级:电信12305班 指导老师:朱婷 小组成员:张壮安剑锋罗杰杨康熊施任务分工:1.张壮实验报告的撰写 2.安剑锋检查元件及整理 3.罗杰电路的焊接 4.杨康元器件的保管及测试 5.熊施协助电路的焊接 2014年11月14日

项目一:红外线电路设计 一、电路工作原理 常用的红外线遥控系统一般分发射和接收两个部分。发射部分的主要元件为红外发光二极管。它实际上是一直特殊的发光二极管,由于其内部材料不同于普通发光二极管,因而在其两端施加一定电压时,它便发出的红外线而不会死可见光。 接收部分的红外接收管是一种光敏二极管。在实际应用中要给红外线接收二极管加反向偏压,它才能正常工作,亦即红外线接收二极管在电路中应用时是反向运用,这样才能获得较高的灵敏度。红外线二极管一般有圆形和方形两种。 二、电路原理图设计

课题名称元件数量备注 红外线发射——接收模拟 电路红外线发射管 1 红外线接收管 1 发光二极管 1 运放uA741 1 20K可调电位器 1 100Ω电阻 1 10kΩ电阻 1 330Ω电阻 1 元件清单表 三、电路设计与调试 (1)各小组从指导老师那里领取元器件,分工检测元器件的性能。(2)依据电路原理图,各小组讨论如何布局,最后确定一最佳方案在洞洞板上搭建红外线发射\接收电路图。 (3)检查电路无误后,从信号发生器送入适应电压。 (4)调节可调电阻R3的阻值,观察发光二极管LED是否出现闪烁现象,如果出现说明有发射和接收,如果没有检查电路。(5)实验完毕,记录结果,并写实验报告。

四、实验注意事项 (1)发光二极管的电流不能天大(小于200mA);(2)在通电前必须检查电路无误后才可; (3)信号发生器的输出电压峰峰值1.5~2.5V。 项目二:定时电路的设计一、电路原理图与工作原理

实验一组合逻辑电路设计

电子信息工程晓旭 2011117147 实验一组合逻辑电路设计(含门电路功能测试) 一.实验目的 1掌握常用门电路的逻辑功能。 2掌握用小规模集成电路设计组合逻辑电路的方法。 3掌握组合逻辑电路的功能测试方法。 二.实验设备与器材 数字电路实验箱一个 双踪示波器一部 稳压电源一部 数字多用表一个 74LS20 二4 输入与非门一片 74LS00 四2 输入与非门一片 74LS10 三3 输入与非门一片 三 .实验任务 1对74LS00,74LS20逻辑门进行功能测试。静态测试列出真值表,动态测试画出波形图,并说明测试的门电路功能是否正常。 2分析测试1.7中各个电路逻辑功能并根据测试结果写出它们的逻辑表达式。 3设计控制楼梯电灯的开关控制器。设楼上,楼下各装一个开关,要求两个开关均可以控制楼梯电灯。 4某公司设计一个优先级区分器。该公司收到有A,B,C,三类,A,类的优先级最高,B 类次之,C类最低。到达时,其对应的指示灯亮起,提醒工作人员及时处理。当不同类的同时到达时,对优先级最高的先做处理,其对应的指示灯亮,优先级低的暂不理会。按组合逻辑电路的一般设计步骤设计电路完成此功能,输入输出高低电平代表到

实验一: (1)74LS00的静态逻辑功能测试 实验器材:直流电压源,电阻,发光二极管,74LS00,与非门,开关,三极管 实验目的:静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否 实验过程:将74LS00中的一个与非门的输入端A,B分别作为输入逻辑变量,加高低电平,观测输出电平是否符合真值表描述功能。 电路如图1: 图1 真值表1.1: 实验问题:与非门的引脚要连接正确,注意接地线及直流电源 实验结果:由二极管的发光情况可判断出74LS00 实现二输入与非门的功能 (2)71LS00的动态逻辑功能测试 实验器材:函数发生器,示波器,74LS00,与非门,开关,直流电压源 实验目的:测试74LS00与非门的逻辑功能 实验容:动态测试适合用于数字系统中逻辑功能的检查,测试时,电路输入串行数字

数字电路组合逻辑电路设计实验报告

实验三组合逻辑电路设计(含门电路功能测试)

一、实验目的 1.掌握常用门电路的逻辑功能 2.掌握小规模集成电路设计组合逻辑电路的方法 3.掌握组合逻辑电路的功能测试方法 二、实验设备与器材 Multisim 、74LS00 四输入2与非门、示波器、导线 三、实验原理 TTL集成逻辑电路种类繁多,使用时应对选用的器件做简单逻辑功能检查,保证实验的顺利进行。 测试门电路逻辑功能有静态测试和动态测试两种方法。静态测试时,门电路输入端加固定的高(H)、低电平,用示波器、万用表、或发光二极管(LED)测出门电路的输出响应。动

态测试时,门电路的输入端加脉冲信号,用示波器观测输入波形与输出波形的同步关系。 下面以74LS00为例,简述集成逻辑门功能测试的方法。74LS00为四输入2与非门,电路图如3-1所示。74LS00是将四个二输入与非门封装在一个集成电路芯片中,共有14条外引线。使用时必须保证在第14脚上加+5V电压,第7脚与底线接好。 整个测试过程包括静态、动态和主要参数测试三部分。 表3-1 74LS00与非门真值表 1.门电路的静态逻辑功能测试 静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否。实验时,可将74LS00中的一个与非门的输入端A、B分别作为输入逻辑变量,加高、低电平,观测输出电平是否符合74LS00的真值表(表3-1)描述功能。 测试电路如图3-2所示。试验中A、B输入高、低电平,由数字电路实验箱中逻辑电平产生电路产生,输入F可直接插至逻辑电平只是电路的某一路进行显示。

仿真示意 2.门电路的动态逻辑功能测试 动态测试用于数字系统运行中逻辑功能的检查,测试时,电路输入串行数字信号,用示波器比较输入与输出信号波形,以此来确定电路的功能。实验时,与非门输入端A加一频率为

电子电路设计实验报告

电子电路设计实验报告 电子线路专题实验Ⅱ 一、实验要求: 1. 认真阅读学习系统线路及相关资料 2. 将键盘阵列定义为0. 1. 2------ E. F,编程实现将键盘输入内容显示在LCD显示器上。 3. 编程实现将日历、时钟显示在LED显示屏上(注意仔细阅读PCF8563资料),日历、时钟轮回显示。 4. 利用D/A转换通道(下行通道)实现锯齿波发生器;输出(1~5V)固定电压转换成(4~20mA)电流。 5. 利用A/D转换通道(上行通道)实现数据采集,将采集信号显示在LED屏上。程序要求分别具有平均值滤波、中值滤波和滑动滤波功能。 6. 将按键阵列定义成与16个语音段对应,编写程序,实现按键播放不同的语音段。 二、实验设计思路: 本次实验用c语言实现,主要包括LCD,LED,AD,DA,日历芯片,测温传感芯片。受到嵌入式系统实验的启发,将LCD,LED,I2C总线协议,键盘扫描模块接口写成一个文件库(放在library文件夹下),尽量做到调用时与底层硬件无关。通过调用库文件中的函数,实现代码的重用性。键盘,LCD的代码由于与嵌入式实验具有相通之处,因此可将高层的函数(与底层硬件无关的函数)方便地移植过来。 三、实验设计: 1.矩阵键盘扫描模块 4×4的矩阵键盘,通过扫描可得到按下键的行列值,将行列值转换为相应的对应数字0~F。函数GetKey()实现获得按键的键值。对于键盘模块对于对按键的键值识别主要是通过两次扫描而取得。对于第一次扫描,给四行键全部赋予1,然后读回键盘值,对于第二次扫描,逐行为键盘送1,每次送1后再读回键盘值,若非零,说明此行有键按下,最终确定键值。 通过调用GetKey函数构造GetChar()函数,实现获取键盘字符(’0’~’F’)的功能。

相关主题
文本预览
相关文档 最新文档