当前位置:文档之家› DIY编程器论坛_基于数字IC测试机架构详细讲解测试理论

DIY编程器论坛_基于数字IC测试机架构详细讲解测试理论

DIY编程器论坛_基于数字IC测试机架构详细讲解测试理论
DIY编程器论坛_基于数字IC测试机架构详细讲解测试理论

目录

集成电路测试机发展史简介 ....... 错误!未定义书签。

测试的专业术语简介.......................................................... 错误!未定义书签。

芯片测试中的一些专业术语...................................... 错误!未定义书签。

测试中硬件的一些专业术语 (3)

测试系统中的一些专业术语...................................... 错误!未定义书签。

测试参数中的一些专业术语...................................... 错误!未定义书签。

测试设备的一般结构.......................................................... 错误!未定义书签。

FUNCTIONAL测试原理.................................................. 错误!未定义书签。

功能测试简介.............................................................. 错误!未定义书签。

Test Vector .................................................................... 错误!未定义书签。

Input Signal Format ..................................................... 错误!未定义书签。

Input Signal Creation ................................................... 错误!未定义书签。

Output Signal Testing ................................................... 错误!未定义书签。

一些基本参数的测试原理.................................................. 错误!未定义书签。

Open/Short Test ............................................................ 错误!未定义书签。

IDD TEST .................................................................... 错误!未定义书签。

VOL/IOL VOH/IOH TEST ......................................... 错误!未定义书签。

Input Current (IIL/IIH) ................................................ 错误!未定义书签。

附录...................................................................................... 错误!未定义书签。

Test Development Steps ............................................... 错误!未定义书签。

集成电路测试机发展史简介

当今的电子测试业界,分为两大部分,一是PCB组装测试,一是集成电路测试,如下图所示:

ICT(In Circuit Tester)/AMD

PCB组装测试ATE(Auto Test Equipment)

电子测试测试Function Test

WAT Digital test

IC测试

Function Mixed test

Analog test

随着PCB组装大规模生产线的出现,使得传统的测试观念发生变化,希望PCB上的问题能在成品前的几道工序就能及时发现并修复,于是从80年代开始,出现了光板测试仪和在线测试仪(ICT & ATE),并导入生产制程,使PCB的成品率大大提升。

1958年德州仪器公司(TI)研制发明了世界第一块集成电路7400(与非门逻辑电路)以来,便诞生了世界上第一台集成电路测试机,专用于测试自己产品的IN HOUSE TESTER。同样,世界上第一颗模拟(Analog)集成电路是Fairchild 公司的运算放大器741,同时,便诞生了世界上第一台模拟集成电路测试机。

集成电路测试机已经走过了第一代、第二代,目前正处于第三代的早期,其中每一代测试机的特点及其代表厂家如表一所示:

表一:

1970年,Fairchild公司推出了世界上第一代成功的商业化的数字集成电路测试机Sentry 7,它采用24位BUS,ECL电路,2MHz的minicomputer,体积庞大,主要性能为:DATA RATE为10MHz,最大测试通道为60PIN;直到1990年为止,先后推出S-10,S-20,S-21等型号,在1970年到1990年这二十年期间,Sentry机器凭借其优良的性能,在测试业界独岭风骚,无任何竞争手。

随着386CPU的出现,集成电路的集成度和工作频率大幅度提高,诞生了第二代集成电路测试机,主要特点为:测试机硬件系统开始采用ASIC,软件系统则引入工作站,用UNIX操作系统。其代表性的机器有法国的SCHLUMBERGER以及TRILLIUM。Sentry公司卖给SCHLUMBERGER后,便推出第二代产品S15及S50,而Sentry公司的部分员工成立TRILLIUM公司,推出50MHz、256PIN的数字测试机,赢得较大的市场,SCHLUMBERGER推出S15、S50失败后,推出先进的ITS9000系统,此系统在软件和硬件上均代表当时的最高水平,软件采用高级的图形界面,测试程序采用模块化结构,硬件系统采用SEQUENCE PER PIN结构(每一PIN均有独立的PMU,TG,VIH,VIL,VOH,VOL及SEQUENCE),此时ITS9000机器独霸市场,TRILLIUM不得已卖给CREDENCE,CREDENCE推出SC212系统,它在软件方面引进ITS9000的优点,在硬件方面采用CMOS电路,向小型化发展,此系统推出后,打败了众多大系统机台。

随着个人计算机及WINDOWS操作系统的广泛普及,如今,出现了第三代数字集成电路测试机,并具备如下特点:采用广泛普及的PC机及WINDOWS 操作系统,易学易用,并向智能化发展,硬件系统向小型化、模块化、标准化发展。其代表机器有TERADYNE的J750,用于工程验证的HILEVEL、IMS。第三代测试机的出现,使得测试机的购买成本及维护成本大大降低,厂家只需购买自己需要的模块部分,而不必花过多的钱去买自己用不到的部分,并且,测试机也能随时扩充新的功能。

综上,集成电路测试机基本上沿着小型化、模块化、智能化方向发展。

测试的专业术语简介

芯片测试中的一些专业术语

Wafer Test 是指在芯片还是Wafer状态下,将其进行好坏分离的测

试,这是所有芯片测试中最先进行的。也可称为Wafer

Sort。

Package Test 是指将Wafer上的芯片进行切割、封装,然后再进行测

试。其目的是为保证封装过程的正确,并再次验证芯

片是否符合系统设计的要求。也可称为Final Test。

Pre/Post Burn-in (option) 是指将芯片放入烘箱进行烘烤,其目的是将芯片进行

老化,然后再进行测试。由此可保证芯片在一定的时

间内性能的稳定。

Quality Assurance Test 是指在Final Test后选取一定数量的芯片进行QA测试,

来验证Final Test的正确性。一般来说QA Test的规格

会比Final Test松,但测试项目会多。

Final Vision Inspection 是指在产品送出去之前的外观目视检察。它主要检察

Marking是否清晰、引脚之间的间距和高低是否符合标

准。

Failure Analysis 是指对测试不通过的芯片进行错误分析,然后根据结

果考虑是否改进制程或测试程序。

测试中硬件的一些专业术语

Load Board 是机台同DUT Board或直接同芯片(无DUT Board)连接

的通道。其主要用途是将测试机台的资源(电流、电压、

频率等)传给DUT Board或直接传给芯片。

DUT Board DUT是Device Under Test的缩写,其主要是一个转接

板,是将机台通过Load Board传过来得资源再转传给

芯片。

Relay 是速度极快的继电器,主要实现让芯片在不同外围电路

之间切换。

测试系统中的一些专业术语

Pin Electronics 是在测试头中将输入信号传给DUT或从DUT中抓到输出

信号的电路。同时也可称为PE Cards或I/O Cards。Drivers 是在PE Cards中用于提供给DUT逻辑0、1电平的电路。Signal Format 是由PE Cards的驱动电路所提供的输入信号的波形。Comparators 是在PE Cards中用于从DUT中抓到逻辑0、1电平的电路。

Output Sampling 是将输出的电压值与定义的逻辑电平的0、1值比较,判断

是否通过。

Output Mask 是在功能测试过程中决定测试通道的输出比较是否打开。

Dynamic Loading 是指在PE Cards的电路中可加载变化的正负电流,这些动

态电流的加载可作为DUT输出引脚的I OL和I OH ,并且些

电流的大小可由过程控制。

V REF是Dynamic Loading的参考电压。并控制电流I OL和I OH (详

情请参见图X)。

PMU 是Precision Measurement Unit / Parametric Measurement Unit

的缩写,它具有提供电压测电流和提供电流测电压的功能。

Clamp 是测试系统在硬件上对电流和电压值的限制,这对测试人

员、测试机台和芯片起保护作用。

Positive Current /

是指从机台流入芯片的电流。

Sink

Negative Current /

是指从芯片流入机台的电流。

Source

DPS 是Device Power Supply的缩写,其主要作用给芯片提供大

电流和高电压。我们一般将其和芯片的V DD相连。

RVS 是Reference V oltage Supply的缩写,它主要向PE Cards的

驱动和比较电路提供逻辑的0、1电平。

Test Cycle 是指执行一行测试向量所需的时间,也可称为Period。Test Vectors 是同功能测试相配合,定义芯片引脚不同时刻的状态。它有

输入、输出两种状态,我们根据测试向量给某些引脚灌入输

入信号,并在某些引脚比较输出波形,由此来判断功能测试

的通过与否。其也可称为Test Pattern。

Vector Memory 用于存储测试向量的高速内存。也可称为Pattern Memory。Tester Channel 是指在PE Cards中的向DUT引脚传递电压、电流和时序的

电路。也可称为Test Pin。

Tester Per Pin 是指每个测试通道都是一个小的测试系统,每个引脚都有一

套独立的资源(时序、PMU等).。

测试参数中的一些专业术语

V CC是指对于TTL芯片的驱动电压。

I CC是指对于TTL芯片的驱动电流。

V DD是指对于MOS芯片的驱动电压。

I DD是指对于MOS芯片的驱动电流。

V IH是V oltage Input High的缩写,它根据芯片的操作电压不同

而有不同的最低电压的限制。

V IL是V oltage Input Low的缩写,它根据芯片的操作电压不同而

有不同的最高电压的限制。

I IH是Input Leakage High的缩写,它定义为当输入引脚加逻辑

高电平时,该输入引脚所允许的最大灌电流。

I IL是Input Leakage Low的缩写,它定义为当输入引脚加逻辑

低电平时,该输入引脚所允许的最大漏电流。

V OH是V oltage Output High的缩写,它根据芯片的操作电压不同

而有不同的最低电压的限制。

V OL是V oltage Output Low的缩写,它根据芯片的操作电压不同

而有不同的最高电压的限制。

I OH是Current Output High的缩写,它定义为当输出引脚输出逻

辑高电平时,该输出引脚的驱动电流的大小。

I OL是Current Output Low的缩写,它定义为当输出引脚输出逻

辑低电平时,该输出引脚的灌电流的大小。

I OZH是Output High Impedance Leakage Current High的缩写,它

定义为当输出引脚为逻辑高电平并且处于高阻状态时,该输

出引脚的所允许经过的最大电流。

I OZL是Output High Impedance Leakage Current Low的缩写,它

定义为当输出引脚为逻辑低电平并且处于高阻状态时,该输

出引脚的所允许经过的最大电流。

测试设备的一般结构

图(1)

如图(1)是数字测试系统的经典架构。许多新的测试系统可能会包含有更多的硬件,但还是以上图为基本架构。

Test System Controller CPU是整个测试系统的核心,它控制测试系统的工作和数据的流向,通过系统的I/O口将数据传到外部的PC上,或从外部的PC上获取命令。外部PC只是一个系统平台起存储与显示的作用。所以会有些机台只有外部的Monitor而无外部PC。

在测试机台的DC部分由System Power Supply、DUT and Reference Power Supply和PMU等组成。System Power Supply是提供整个测试系统的电源,机台对于电压的稳定性要求极高,一般在测试机台中占有最大的体积。Device Power supply主要提供芯片V DD/V CC。Reference V oltage Supply提供给芯片参考电压(V IL/V IH,V OH/V OL)。PMU是进行DC参数量测的单元。

在测试机台中还有Pattern Memory是用于存储测试向量的。一般的测试向量分为并行向量和线性向量。并行向量就是一根Device Pin对应向量的一列的向量结构;而线性向量是为提高测试的覆盖度,在芯片的设计过程中加入某些CELL 就可用串行向量来测试某些用并行向量无法测试的项目。

在测试机台的AC部分由Timeset Memory、Formatting Memory和Masking Memory组成,其主要作用是将测试向量中的输入部分根据测试程序的要求产生相应的输入波形,同时也根据测试程序的要求在相应的时间点去比较输出波形。

测试机台的另一重要部分是测试头,它是测试机台与芯片之间的通道,其主要由PE Card组成(PE Card的结构见图(2))。

图(2)

由上图可见PE Card由输入、输出和动态负载三部分组成,其中输入部分是给芯片提供VIL/VIH的电平;输出部分是将芯片的输出电平与V OH/V OL进行比较;图(2)的动态负载分为两部分,一种是通过加载I OL/I OH电流将输出电压与设定的V OH/V OL比较;另一种是将输出电流与设定的I HIGH/I LOW进行比较。比较电流的功能一般只有在高档机台中才会有。

另外在测试头的附近有Test Box/Bin Box,它包括Start和Reset等按键,并且能够显示芯片Pass/Fail的结果。

FUNCTIONAL测试原理

功能测试简介

功能性测试主要是验证逻辑功能。在主程序的功能测试部分中包括测试向量和相关的测试命令。其中测试向量在输入时向芯片提供逻辑状态,在输出时比较芯片的逻辑状态。在程序中的测试命令是用来控制硬件产生相应的电压、波形和时序。

图(3)

在功能测试过程中,测试系统以周期为单位将输入数据提供给芯片并比较输出数据。如果输出数据与默认的逻辑状态(测试向量的值)、电压和波形不同,则我们认为该功能测试不通过。

Test Vector

Test Vector也可称为test pattern或truth table。测试向量是芯片根据设计要求而所因具有输入输出值的集合。一般用0/1来表示输入低/高电平,L/H/Z来表示输出低/高/高阻状态,X表示即无输入又无输出。并且我们可根据不同的测试系统使用不同的字符来代表不同的含义。

测试向量按在测试程序中出现的位置顺序存储在向量存储单元中,测试向量的一行表示一个测试周期。向量存储单元中的输入数据经过机台的转换变成相应的输入波形,经过PE Cards传给芯片。芯片的输出波形通过PE Cards传给机台,再由机台转变成向量,与原先存储在向量存储单元中的输出数据进行比较。

测试向量一般由设计者将仿真时的数据经过适当的转换得到,不同的机台对向量的格式有不同的要求。测试向量的总长度不可超过测试机台向量存储单元的深度。以下是一段测试向量(SC212):

INPUT I/O OUTPUT

100100 1111100100101 HHHHLLLLHLLL

100100 1001100111011 LLLLLLLLLHHH

100100 0001010101001 HLHLHLHHHLHL

100100 1001011111000 LLHHLLLHHHLH

100100 1111101010101 LLLLHLHLHLHL

100100 HHHHLLHLHL HHHLHHLHHLHH

100100 HHHLLLHLHL HHLHLHLHLHL H

100110 ZZZZZZZZZZZ LHLHLHLHLHLH

100110 LLHLLHLHLH HHHLHLHHLHHL

.don’t care

0 drive 0

1 drive 1

H compare 1

L compare 0

X don't care

Z compare tri-state

信号的波形是测试向量的重要组成部分,它保证所有的AC参数按SPEC.的要求进行测试。信号波形和向量数据、上升/下降沿的位置、输入电压值组合成输入信号波形。常见的信号波形如下图:

图(4)

NRZ 是Non Return To Zero的缩写,它不受Edge timing的控制,NRZ波形只有在每个周期的开始才会变化。

DNRZ 是Delayed Non Return To Zero的缩写,DNRZ是NRZ延时一段时间的波形,它延迟的时间由Edge timing的控制。

RZ 是Return To Zero的缩写,当向量数据是1时产生一个正脉冲,而向量数据是0时不产生脉冲(波形一直为低)。RZ的波形有一个上升

沿和一个下降沿,它们的位置可由Edge timing的控制。

RO 是Return To One的缩写,当向量数据是0时产生一个负脉冲,而向量数据是1时不产生脉冲(波形一直为高)。RO波形上升沿和下降沿

的位置可由Edge timing的控制。

SBC 是Surround By Compliment的缩写,当向量数据是0时产生一个负脉冲,当向量数据是1时产生一个正脉冲。我们可以认为该波形是

由RO和RZ迭加形成的,它的上升沿和下降沿的位置可由Edge

timing的控制。

输入信号波形需要将测试系统中许多部分的数据综合起来产生,其实在测试头上看到的波形是由向量数据、Edge Placement Timing、基本信号波形和V IL/V IH 的值组合而成。如下图所示:

图(5)

所有的输入引脚都要有相应的输入波形。时钟信号的波形一般用RZ或RO 来营造,像CS(Chip Select)和READ这种高电平有效的信号用RZ来营造,而像CS/(Chip Select Bar)和OE/(Output Enable Bar)这种低电平有效的信号用RO来营造;数据信号一般数据不定而且有Setup Time和Hold Time的要求所以用SBC 来营造。其他信号一般用NRZ和DNRZ来营造。

输出比较实际是由Test vector data(期望芯片输出的逻辑状态)、Output strobe timing(决定在测试周期中何时采样)、V OL/V OH(期望芯片输出的电压值)、

I OL/I OH(期望芯片输出的电流值)四部分组成。如下图所示:

图(6)

在输出比较时,测试机台根据Strobe timing在相应的时间读取输出引脚上的电压值。如果期望输出低电平那么芯片的输出必须比设定的V OL低,如果期望输出高电平那么芯片的输出必须比设定的V OH高。并且我们可利用Output Masking 来选择哪些需要进行输出比较哪些不需要,由此可形成灵活的输出比较。

一些基本参数的测试原理

Open/Short Test

Open/Short的测试原理是测试对VDD或VSS的保护两极管。一般我们有两种方法,一种是用PMU灌入电流测电压,另一种是用Function测试的方法用动态负载加载I OL/I OH电流比较电压。前一种方法每测一根引脚需要10ms,后一种方法一共需要50ms左右。由此可见在引脚数较多的情况下后一种方法有明显的优势。

图(7)

上图是用PMU测Open/Short。它通过PMU提供100uA的电流来验证每个引脚对V DD的保护两极管的好坏。如图(3)我们可把V OH/V OL设成1.5V/0.2V 。如果测出的电压大于V OH(1.5V),那么该引脚是Open fail。如果测出的电压小于V OL(0.2V),那么该引脚是Short fail。

图(8)

上图也是用PMU测Open/Short。它通过PMU提供-100uA的电流来验证每个引脚对V SS的保护两极管的好坏。如图(3)我们可把V OH/V OL设成-0.2V/-1.5V 。如果测出的电压大于V OH(-0.2V),那么该引脚是Short fail。如果测出的电压小于

V OL(-1.5V),那么该引脚是Open fail。

图(9)

上图是用跑Pattern的方法测Open/Short。首先将所有的Power Pin接地(V DD=0)设V REF=-2V ( V REF是Dynamic Loading的参考电压。并控制电流I OL和I OH),加上动态负载I OL/I OH各100uA,再逐次将每根引脚的输出电压与

V OH(-0.2V)/V OL(-1.0V)比较。如果测出的电压大于V OH,那么该引脚是Short fail。如果测出的电压小于V OL,那么该引脚是Open fail。

测试所需用到的Pattern可参考如下格式:

GFFFFFFFF /*测试第一根引脚的保护两极管*/

FGFFFFFFF /*测试第二根引脚的保护两极管*/

FFGFFFFFF 。

FFFGFFFFF 。

FFFFFGFFF 。

FFFFFFGFF 。

FFFFFFFGF 。

FFFFFFFFG /*测试第八根引脚的保护两极管*/

其中G的含义是无论INPUT、OUTPUT、I/O PIN均可DRIVE 0;

F的含义是无论INPUT、OUTPUT、I/O PIN均可比较。

IDD TEST

IDD是测量芯片处在不同状态下流出V DD或流入V SS(当有几个DPS供电)的电流。一般我们将IDD电流分成Static、Dynamic二种。其中我们将IDD Static Current定义为当芯片处于象Sleep模式或Stop模式下的IDD电流;IDD Dynamic Current定义为当芯片处于象Standby模式或Operation模式下的IDD电流。Static 与Dynamic的区别在于芯片所处的状态中内部RC/Oscillator是否起振,如果起振那该状态下的IDD电流称为IDD Dynamic Current,相反则称为IDD Static Current。

图(10)

如上图通过PMU/DPS给V DD提供电源,给所有的Input引脚相应的V IH/V IL 防止因为悬空而产生的漏电流,并且输出不接负载防止额外的电流消耗。然后用相应的测试单元来测量电流。

VOL/IOL VOH/IOH TEST

V OL/I OL是测当输出引脚输出低电平时输出阻抗,V OH/I OH是测当输出引脚输出高电平时输出阻抗。其主要目的是验证拉或灌相应的电流,它的输出电压

V OH/V OL是否处于正确的电平。测试方法可分成动态和静态两种。静态方法是用PMU对输出引脚提供电压/电流,然后在逐一测电压/电流。动态方法是在Function 的测试过程中加载动态负载电流,然后再比较电压。

图(11)

如上图在跑Function时,在输出高电平时加载I OH电流,比较输出高电平是否正确。在输出低电平时加载I OL电流,比较输出低电平是否正确。

IC测试原理解析

I C测试原理解析 Document serial number【UU89WT-UU98YT-UU8CB-UUUT-UUT108】

IC测试原理解析3 存储器和逻辑芯片的测试? ?存储器芯片测试介绍? ?存储器芯片是在特定条件下用来存储数字信息的芯片。存储的信息可以是操作代码,数据文件或者是二者的结合等。根据特性的不同,存储器可以分为以下几类,如表1所示:? ? ?存储器术语的定义? ?在讨论存储器芯片测试之前,有必要先定义一些相关的术语。? ?写入恢复时间(Write?Recovery?Time):一个存储单元在写入操作之后和正确读取之前中间必须等待的时间。? ? ;?保持时间(Hold?Time):输入数据电平在锁存时钟之后必须保持的时间间隔。? ?Pause?Test:存储器内容保持时间的测试。? ?刷新时间(Refresh?Time):存储器刷新的最大时间间隔。? ?建立时间(Setup?Time):输入数据电平在锁存时钟之前必须稳定保持的时间间隔。? ?上升和下降时间(Rise?and?Fall?Times):功能速度测试是通过重复地进行功能测试,同时改变芯片测试的周期或频率来完成的。测试的周期通常使用二进制搜索的办法来进行改变。这些测试能够测出芯片的最快运行速度。? ?写入恢复(Write?Recovery):一个存储单元在写入操作之后和下一个存储单元能正确读取之前中间必须等待的时间。? ?读取时间(Access?time):通常是指在读使能,片选信号或地址改变到输出端输出新数据的所需的最小时间。读取时间取决于存储器读取时的流程。?

?存储器芯片测试中的功能测试? ?存储器芯片必须经过许多必要的测试以保证其功能正确。这些测试主要用来确保芯片不包含一下类型的错误:? ?存储单元短路:存储单元与电源或者地段路? ?存储单元开路:存储单元在写入时状态不能改变相邻单元短路:根据不同的短路状态,相邻的单元会被写入相同或相反的数据地址? ?开路或短路:这种错误引起一个存储单元对应多个地址或者多个地址对应一个存储单元。这种错误不容易被检测,因为我们一次只能检查输入地址所对应的输出响应,很难确定是哪一个物理地址被真正读取。? ?存储单元干扰:它是指在写入或者读取一个存储单元的时候可能会引起它周围或者相邻的存储单元状态的改变,也就是状态被干扰了。? ?存储器芯片测试时用于错误检测的测试向量? ?测试向量是施加给存储器芯片的一系列的功能,即不同的读和写等的功能组合。它主要用于测试芯片的功能错误。常用的存储器测试向量如下所示,分别介绍一下他们的执行方式以及测试目的.? -------------------------------------------------------------------------------- ?全”0”和全”1”向量:?4n行向量? ?执行方式:对所有单元写”1”再读取验证所有单元。对所有单元写”0”再读取验证所有单元。? ?目的:检查存储单元短路或者开路错误。也能检查相邻单元短路的问题。?

IC测试原理

IC测试原理解析(第一部分) 本系列一共四章,下面是第一部分,主要讨论芯片开发和生产过程中的IC测试基本原理, 内容覆盖了基本的测试原理,影响测试决策的基本因素以及IC测试中的常用术语。 第一章 数字集成电路测试的基本原理 器件测试的主要目的是保证器件在恶劣的环境条件下能完全实现设计规格书所规定的功能及性能指标。用来完成这一功能的自动测试设备是由计算机控制的。因此,测试工程师必须对计算机科学编程和操作系统有详细的认识。测试工程师必须清楚了解测试设备与器件之间的接口,懂得怎样模拟器件将来的电操作环境,这 样器件被测试的条件类似于将来应用的环境。 首先有一点必须明确的是,测试成本是一个很重要的因素,关键目的之一就是帮助降低器件的生产成本。甚至在优化的条件下,测试成本有时能占到器件总体成本的40%左右。良品率和测试时间必须达到一个平衡,以取得最好的成本效率。 第一节 不同测试目标的考虑 依照器件开发和制造阶段的不同,采用的工艺技术的不同,测试项目种类的不同以及待测器件的不同,测试技术可以分为很多种类。 器件开发阶段的测试包括: ? 特征分析:保证设计的正确性,决定器件的性能参数; ? 产品测试:确保器件的规格和功能正确的前提下减少测试时间提高成本效率 ? 可靠性测试:保证器件能在规定的年限之内能正确工作; ? 来料检查:保证在系统生产过程中所有使用的器件都能满足它本身规格书要求,并能正确工作。 制造阶段的测试包括: ?圆片测试:在圆片测试中,要让测试仪管脚与器件尽可能地靠近,保证电缆,测试仪和器件之间的阻抗匹配,以便于时序调整和矫正。因而探针卡的阻抗匹配和延时问题必须加以考虑。 ? 封装测试:器件插座和测试头之间的电线引起的电感是芯片载体及封装测试的一个首要的考虑因素。 ? 特征分析测试,包括门临界电压、多域临界电压、旁路电容、金属场临界电压、多层间电阻、金属多点接触电阻、扩散层电阻、 接触电阻以及FET寄生漏电等参数测试。 通常的工艺种类包括: ? TTL

IC测试原理 IC设计必备宝典

第1章认识半导体和测试设备 更多.. 1947年,第一只晶体管的诞生标志着半导体工业的开始,从那时起,半导体生产和制造技术变得越来越重要... 第1节 晶圆、晶片和封装 第3节 半导体技术 第5节 测试系统的种类 第7节 探针卡(ProbeCard) 第2节 自动测试设备 第4节 数字和模拟电路 第6节 测试负载板(LoadBoard)... 第2章半导体测试基础 更多.. 半导体测试程序的目的是控制测试系统硬件以一定的方式保证被测器件达到或超越它的那些被具体定义在器件规格书里的设计指标... 第1节 基础术语 第3节 测试系统 第5节 管脚电路 第2节 正确的测试方法 第4节 PMU 第6节 测试开发基本规则 第3章基于PMU的开短路测试 更多.. Open-Short Test也称为Continuity Test或Contact Test,用以确认在器件测试时所有的信号引脚都与测试系统相应的通道在电性能上完成了连接,并且没有信号引脚与其他信号引脚、电源或地发生短路... 第1节 测试目的 第2节 测试方法 第4章DC参数测试 更多.. 测试程序流程中的各个测试项之间的关系对DC测试来说是重要的,很多DC测试要求前提条件... 第1节基本术语 第3节VOL/IOL 第5节Static IDD 第7节IIL / IIH 第11节High Impedance Curren... 第2节VOH/IOH 第4节Gross IDD 第6节IDDQ & Dynamic IDD 第8节Resistive Input & Outpu...

第12节IOS test 第5章功能测试 更多.. 功能测试是验证DUT是否能正确实现所设计的逻辑功能,为此,需生成测试向量或真值表以检测DUT中的错误,真值表检测错误的能力可用故障覆盖率衡量,测试向量和测试时序组成功能测试的核心... 第1节基础术语 第3节输出数据 第5节Vector Data 第7节Gross Functional Test an... 第9节标准功能测试 第2节测试周期及输入数据 第4节Output Loading for AC Te... 第6节Functional Specification... 第8节Functionally Testing a D... 第6章AC参数测试 更多.. 第1节 测试类型 第1节 晶圆、晶片和封装

IC测试基本原理

本系列一共四章,下面是第一部分,主要讨论芯片开发和生产过程中的IC测试基本原理,内容覆盖了基本的测试原理,影响测试决策的基本因素以及IC测试中的常用术语。 器件测试的主要目的是保证器件在恶劣的环境条件下能完全实现设计规格书所规定的功能及性能指标。用来完成这一功能的自动测试设备是由计算机控制的。因此,测试工程师必须对计算机科学编程和操作系统有详细的认识。测试工程师必须清楚了解测试设备与器件之间的接口,懂得怎样模拟器件将来的电操作环境,这样器件被测试的条件类似于将来应用的环境。 首先有一点必须明确的是,测试成本是一个很重要的因素,关键目的之一就是帮助降低器件的生产成本。甚至在优化的条件下,测试成本有时能占到器件总体成本的40%左右。良品率和测试时间必须达到一个平衡,以取得最好的成本效率。 第一节不同测试目标的考虑 依照器件开发和制造阶段的不同,采用的工艺技术的不同,测试项目种类的不同以及待测器件的不同,测试技术可以分为很多种类。 器件开发阶段的测试包括: ·特征分析:保证设计的正确性,决定器件的性能参数; ·产品测试:确保器件的规格和功能正确的前提下减少测试时间提高成本效率 ·可靠性测试:保证器件能在规定的年限之内能正确工作; ·来料检查:保证在系统生产过程中所有使用的器件都能满足它本身规格书要求,并能正确工作。 制造阶段的测试包括: ·圆片测试:在圆片测试中,要让测试仪管脚与器件尽可能地靠近,保证电缆,测试仪和器件之间的阻抗匹配,以便于时序调整和矫正。因而探针卡的阻抗匹配和延时问题必须加以考虑。 ·封装测试:器件插座和测试头之间的电线引起的电感是芯片载体及封装测试的一个首要的考虑因素。·特征分析测试,包括门临界电压、多域临界电压、旁路电容、金属场临界电压、多层间电阻、金属多点接触电阻、扩散层电阻、接触电阻以及FET寄生漏电等参数测试。 通常的工艺种类包括: · TTL · ECL · CMOS · NMOS · Others 通常的测试项目种类: ·功能测试:真值表,算法向量生成。 ·直流参数测试:开路/短路测试,输出驱动电流测试,漏电电源测试,电源电流测试,转换电平测试等。·交流参数测试:传输延迟测试,建立保持时间测试,功能速度测试,存取时间测试,刷新/等待时间测试,上升/下降时间测试。 第二节直流参数测试 直流测试是基于欧姆定律的用来确定器件电参数的稳态测试方法。比如,漏电流测试就是在输入管脚施加电压,这使输入管脚与电源或地之间的电阻上有电流通过,然后测量其该管脚电流的测试。输出驱动电流测试就是在输出管脚上施加一定电流,然后测量该管脚与地或电源之间的电压差。

IC测试原理解析 第三部分-混合信号芯片

IC测试原理解析(第三部分) 芯片测试原理讨论在芯片开发和生产过程中芯片测试的基本原理,一共分为四章,下面将要介绍的是第三章。我们在第一章介绍了芯片测试的基本原理;第二章讨论了怎么把这些基本原理应用到存储器和逻辑芯片的测试上;本文主要介绍混合信号芯片的测试;接下来的第四章将会介绍射频/无线芯片的测试。 第三章混合信号芯片测试基础 基于DSP的测试技术 利用基于数字信号处理(DSP)的测试技术来测试混合信号芯片与传统的测试技术相比有许多优势。这些优势包括: 由于能并行地进行参数测试,所以能减少测试时间; 由于能把各个频率的信号分量区分开来(也就是能把噪声和失真从测试频率或者其它频率分量中分离出来),所以能增加测试的精度和可重复性。 能使用很多数据处理函数,比如说求平均数等,这对混合信号测试非常有用 采样和重建 采样用于把信号从连续信号(模拟信号)转换到离散信号(数字信号),重建用于实现相反的过程。自动测试设备(A TE)依靠采样和重建给待测芯片(DUT)施加激励信号并测量它们的响应。测试中包含了数学上的和物理上的采样和重建。图1中说明了在测试一个音频接口芯片时用到的各种采样和重建方法。 采样和重建在混合信号测试中的应用

纯数学理论上,如果满足某些条件,连续信号在采样之后可以通过重建完全恢复到原始信号,而没有任何信号本质上的损失。不幸的是,现实世界中总不能如此完美,实际的连续信号和离散信号之间的转换总会有信号的损失。 我们周围物理世界上的许多信号,比如说声波、光束、温度、压力在自然界都是模拟的信号。现今基于信号处理的电子系统都必须先把这些模拟信号转换为能与数字存储,数字传输和数学处理兼容的离散数字信号。接下来可以把这些离散数字信号存储在计算机阵列之中用数字信号处理函数进行必要的数学处理。 重建是采样的反过程。此过程中,被采样的波形(脉冲数字信号)通过一个数模转换器(DAC)和反镜象滤波器一样的硬件电路转换为连续信号波形。重建会在各个采样点之间填补上丢失的波形。DAC和滤波器的组合就是一个重建的过程,可以用图2所示的冲击响应p(t)来表示。 由一个数据序列重建连续时间波形 混合信号测试介绍 最常见的混合信号芯片有:模拟开关,它的晶体管电阻随着数字信号变化;可编程增益放大器(PGAs),能用数字信号调节输入信号的放大倍数;数模转换电路(D/As or DACs);模数转换电路(A/Ds or ADCs);锁相环电路(PLLs),常用于生成高频基准时钟或者从异步数据流中恢复同步时钟。

IC测试原理解析 第一部分-芯片测试

IC测试原理解析 主要讨论芯片开发和生产过程中的IC测试基本原理,内容覆盖了基本的测试原理,影响测试决策的基本因素以及IC测试中的常用术语。 第一章数字集成电路测试的基本原理 器件测试的主要目的是保证器件在恶劣的环境条件下能完全实现设计规格书所规定的功能及性能指标。用来完成这一功能的自动测试设备是由计算机控制的。因此,测试工程师必须对计算机科学编程和操作系统有详细的认识。测试工程师必须清楚了解测试设备与器件之间的接口,懂得怎样模拟器件将来的电操作环境,这样器件被测试的条件类似于将来应用的环境。 首先有一点必须明确的是,测试成本是一个很重要的因素,关键目的之一就是帮助降低器件的生产成本。甚至在优化的条件下,测试成本有时能占到器件总体成本的40%左右。良品率和测试时间必须达到一个平衡,以取得最好的成本效率。 第一节不同测试目标的考虑 依照器件开发和制造阶段的不同,采用的工艺技术的不同,测试项目种类的不同以及待测器件的不同,测试技术可以分为很多种类。 器件开发阶段的测试包括: ?特征分析:保证设计的正确性,决定器件的性能参数; ?产品测试:确保器件的规格和功能正确的前提下减少测试时间提高成本效率 ?可靠性测试:保证器件能在规定的年限之内能正确工作; ?来料检查:保证在系统生产过程中所有使用的器件都能满足它本身规格书要求,并能正确工作。 制造阶段的测试包括: ?圆片测试:在圆片测试中,要让测试仪管脚与器件尽可能地靠近,保证电缆,测试仪和器件之间的阻抗匹配,以便于时序调整和矫正。因而探针卡的阻抗匹配和延时问题必须加以考虑。 ?封装测试:器件插座和测试头之间的电线引起的电感是芯片载体及封装测试的一个首要的考虑因素。 ?特征分析测试,包括门临界电压、多域临界电压、旁路电容、金属场临界电压、多层间电阻、金属多点接触电阻、扩散层电阻、接触电阻以及FET寄生漏电等参数测试。 通常的工艺种类包括:

IC半导体封装测试流程

IC半导体封装测试流程 更多免费资料下载请进:https://www.doczj.com/doc/4f12004337.html,好好学习社区

IC半导体封装测试流程 第1章前言 1.1 半导体芯片封装的目的 半导体芯片封装主要基于以下四个目的[10, 13]: ●防护 ●支撑 ●连接 ●可靠性 图1-1 TSOP封装的剖面结构图 Figure 1-1 TSOP Package Cross-section 第一,保护:半导体芯片的生产车间都有非常严格的生产条件控制,恒定的温度(230±3℃)、恒定的湿度(50±10%)、严格的空气尘埃颗粒度控制(一般介于1K到10K)及严格的静电保护措施,裸露的装芯片只有在这种严格的环境控制下才不会失效。但是,我们所生活的周围环境完全不可能具备这种条件,低温可能会有-40℃、高温可能会有60℃、湿度可能达到100%,如果是汽车产品,其工作温度可能高达120℃以上,为了要保护芯片,所以我们需要封装。 第二,支撑:支撑有两个作用,一是支撑芯片,将芯片固定好便于电路的连接,二是封装完成以后,形成一定的外形以支撑整个器件、使得整个器件不易损坏。 第三,连接:连接的作用是将芯片的电极和外界的电路连通。

引脚用于和外界电路连通,金线则将引脚和芯片的电路连接起来。载片台用于承载芯片,环氧树脂粘合剂用于将芯片粘贴在载片台上,引脚用于支撑整个器件,而塑封体则起到固定及保护作用。 第四,可靠性:任何封装都需要形成一定的可靠性,这是整个封装工艺中最重要的衡量指标。原始的芯片离开特定的生存环境后就会损毁,需要封装。芯片的工作寿命,主要决于对封装材料和封装工艺的选择。 1.2 半导体芯片封装技术的发展趋势 ● 封装尺寸变得越来越小、越来越薄 ● 引脚数变得越来越多 ● 芯片制造与封装工艺逐渐溶合 ● 焊盘大小、节距变得越来越小 ● 成本越来越低 ● 绿色、环保 以下半导体封装技术的发展趋势图[2,3,4,11,12,13]: 图1-2 半导体封装技术发展趋势 Figure 1-2 Assembly Technology Development Trend 小型化

IC测试原理:存储器和逻辑芯片测试

1 存储器芯片测试 存储器芯片是在特定条件下用来存储数字信息的芯片。存储的信息可以是操作代码,数据文件或者是二者的结合。根据特性的不同,存储器可以分为以下几类,如表1所示。大允许时间间隔。 建立时间(Setup Time):输入数据电平在锁存时钟之前必须稳定保持的时间间隔。 速度(Speed):功能速度测试是通过重复地进行功能测试,同时改变芯片测试的周期或频率来完成的。测试的周期通常使用二进制搜索的办法来进行改变。这些测试能够测出芯片的最快运行速度。 读取时间(Access time):通常是指在读使能,芯片被选中或地址改变到输出端输出新数据的所需的时间。读取时间取决于存取单元排列次序。 3 存储器芯片所需的功能测试存储器芯片必须经过许多必要的测试以保证其功能正确。这些测试主要用来确保芯片不包含以下任何一种类型的错误: 存储单元短路:存储单元与电源或者地短路。 存储单元开路:存储单元在写入时状态不能改变。 相邻单元短路:根据不同的生产工艺,相邻的单元会被写入相同或相反的数据。 地址开路或短路:这种错误引起一个存储单元对应多个地址或者多个地址对应一个存储单元。这种错误不容易被检测,因为我们一次只能检查输入地址所对应的输出响应,很难确定是哪一个物理地址被真正读取。 存储单元干扰:它是指在写入或者读取一个存储单元的时候可能会引起它相邻的存储单元状态的改变,也就是状态被干扰了。 4 存储器芯片测试时用于错误检 测的测试向量 测试向量是施加给存储器芯片的一系列的功 IC测试原理-存储器和逻辑芯片的测试 许伟达 (科利登系统有限公司) 存储器的种类 闪存(Flash) 只读存储器(ROM) 静态随机读取存储器 (SRAM) 电可编程只读存储器(EPROM) 电可编程可擦除编程只读存 储器(EEPROM) 动态随机读取存储器(DRAM) 特性 低成本,高密度,速度快;低功耗,高可靠性 成熟的技术,高密度,可靠的,低成本;写入速度慢,适用于固定代码存储的批量产品 速度最快,高功耗,低密度;较低的密度关系使其生产成本上升较高 高密度;必须通过紫外线照射来擦除内存数据 以字节方式进行电擦除;低可靠性,高成本,密度最低 高密度,低成本,高速度,高功耗 表1 存储器的种类与特性 2 存储器术语的定义 在讨论存储器芯片测试之前,有必要先定义一 些相关的术语。 写入恢复时间(Write Recovery Time):一个 存储单元在写入操作之后到能正确读取之前这中间 必须等待的时间。 锁存时间(Hold Time) :输入数据电平在锁存 输入时钟之后必须保持的时间间隔。 数据保存时间(Pause Test):存储器单元 能保持它们状态的时间,也是存储器内容能保持时 间的测试。 刷新时间(Refresh Time) :存储器刷新前的最 半导体技术第31卷第5期2006年5月350

CPU芯片测试技术

CPU芯片测试技术

目录 第一章 CPU芯片封装概述 1.1 集成电路的发展 (4) 1.1.1 世界集成电路的发展 (4) 1.1.2 我国集成电路的发展 (5) 1.1.3 CPU芯片的发展 (6) 1.2 CPU构造原理 (10) 1.3 .1 CPU工作原理 (11) 1.3.2 CPU的工作流程 (12) 1.4 CPU性能指标 (12) 第二章测试 2.1 可靠性测试 (23) 2.2 测试分类 (24) 2.3 测试过程 (24) 2.4 电性能测试 (25) 2.5 电功能测试 (26) 2.6 测试环境条件 (26) 第三章 CPU芯片测试设备 3.1 测试设备介绍 (28) 3.1.1 Handler(传送机)介绍 (28) 3.1.2 Tester(测试机)介绍 (29) 3.1.3 Chiller(温控设备)介绍 (29) 3.2 测试系统 (30) 3.2.1 SUMMIT ATC 2.13 (温度控制系统) (30) 3.2.2 T2000( 测试系统) (31) 3.2.3 其它相关系统 (31) 第四章测试实例分析 4.1 等级测试 (32) 4.2 实例分析 (32) 致谢....................................................................................。.. (42) 参考文献 (43)

摘要 为什么要测试? 可以通过测试对产品中的带有缺陷的不合格的产品及时筛选出来。 可以通过测试对产品的性能作出优良等级的评定。 可以通过测试对产品,还在工厂中时,随时监控,及时找出存在的问题,解决问题。 可以通过测试对产品,及时监控,把最新动态反馈给工程师,从而不断的改进和完善工艺。 关键字:测试可靠性中央处理器传送机测试机 Abstract Why should we test ? Can pass the test product with a defect in the standard filter out of the product in time. Can test the performance of the product to make a good level of assessment. Can pass the test product, is still at the factory at any time to monitor, identify problems in a timely manner, to solve the problem. Can pass the test product, timely monitoring, the latest feedback to the engineers, so as to continuously improve and perfect the process Keywords: Test,reliability,CPU(Central Processing Unit),Handler,Tester

基于数字IC测试机架构详细讲解测试理论

目录 集成电路测试机发展史简介 ....... 错误!未定义书签。 测试的专业术语简介.......................................................... 错误!未定义书签。 芯片测试中的一些专业术语...................................... 错误!未定义书签。 测试中硬件的一些专业术语 (3) 测试系统中的一些专业术语...................................... 错误!未定义书签。 测试参数中的一些专业术语...................................... 错误!未定义书签。 测试设备的一般结构.......................................................... 错误!未定义书签。 FUNCTIONAL测试原理.................................................. 错误!未定义书签。 功能测试简介.............................................................. 错误!未定义书签。 Test Vector .................................................................... 错误!未定义书签。 Input Signal Format ..................................................... 错误!未定义书签。 Input Signal Creation ................................................... 错误!未定义书签。 Output Signal Testing ................................................... 错误!未定义书签。 一些基本参数的测试原理.................................................. 错误!未定义书签。 Open/Short Test ............................................................ 错误!未定义书签。 IDD TEST .................................................................... 错误!未定义书签。 VOL/IOL VOH/IOH TEST ......................................... 错误!未定义书签。 Input Current (IIL/IIH) ................................................ 错误!未定义书签。 附录...................................................................................... 错误!未定义书签。 Test Development Steps ............................................... 错误!未定义书签。

IC测试原理解析_第一部分-芯片测试

IC测试原理解析(第一部分) 本章主要讨论芯片开发和生产过程中的IC测试基本原理,内容覆盖了基本测试原理,影响测试方案的基本因素以及IC测试中的常用术语。 第一章数字集成电路测试的基本原理 器件测试的主要目的是保证器件在恶劣的环境条件下能完全实现设计规格书所规定的功能及性能指标。用来完成这一功能的自动测试设备是由计算机控制的。因此,测试工程师必须对计算机编程(主流编程程序为VC) 和操作系统有详细的认识。测试工程师必须清楚的了解测试设备与IC器件之间的接口及通讯,懂得如何模拟器件的实际应用环境(bench setup),使得待测的器件能够完全适应将来应用的环境。 首先一点必须考虑的是,测试成本(test cost)是一个很重要的因素,关键目的之一就是帮助降低器件的整个制造和加工成本。部分芯片的测试成本有时占到器件总体成本的40%左右, 此时, 通过优化测试程序和研发多工位测试(multi-site test), 可以很大程度上降低测试成本。良品率和测试时间必须达到一个平衡,以取得最好的成本管控。 第一节不同测试目标的考虑 依照器件开发和制造阶段的不同,采用的工艺技术的不同,测试项目种类的不同以及待测器件的不同,测试技术可以分为很多种类。 器件开发阶段的测试包括: ?特征分析:保证设计的正确性,决定器件的性能参数; ?产品测试:确保器件的规格和功能正确的前提下减少测试时间提高成本效率 ?可靠性测试:保证器件能在规定的年限之内能正确工作; ?来料检查:保证在系统生产过程中所有使用的器件都能满足它本身规格书要求,并能正确工作。 制造阶段的测试包括: ?圆片测试:在圆片测试中,要让测试仪管脚与器件尽可能地靠近,保证电缆,测试仪和器件之间的阻抗匹配,以便于时序调整和矫正。因而探针卡的阻抗匹配和延时问题必须加以考虑。 ?封装测试:器件插座和测试头之间的电线引起的电感是芯片载体及封装测试的一个首要的

LDO测试原理解析

LDO測試原理解析 GTM By Antly_Law

LDO內容 ●LDO說明 ●LDO基本原理●主要參數 ●Power驗證線路●習題

LDO說明 ●LDO 是一种线性稳压器其英文全稱為Low Drop Out(LDO) Linear Voltage Regulators。 ●线性稳压器使用在其线性区域内运行的晶体管或FET,从应用的输入电 压中减去超额的电压,产生经过调节的输出电压。所谓压降电压,是指稳压器将输出电压维持在其额定值上下100mV 之内所需的输入电压与输出电压差额的最小值。正输出电压的LDO(低压降)稳压器通常使用功率晶体管(也称为传递设备)作为PNP。这种晶体管允许饱和,所以稳压器可以有一个非常低的压降电压,通常为200mV 左右;与之相比,使用NPN 复合电源晶体管的传统线性稳压器的压降为2V 左右。负输出LDO 使用NPN 作为它的传递设备,其运行模式与正输出LDO 的PNP 设备类似 請參閱:https://www.doczj.com/doc/4f12004337.html,/view/47e91aa1b0717fd5360cdc12.html

LDO 的基本原理 1.如下圖所示:该电路由串联调整管VT 、取样电阻R1和R2、比较放大器A 组成。 1.U-=(Vout/(R1+R2))*R2 它是取樣電壓 2.UAout=(U+ -U-)*A (注:A 為比較放大器的倍數) 3.U+ = 穩壓管的壓降,它是固定的即Uref + -

LDO的基本原理 2.工作原理說明: 2.1.取样电压加在比较器A的反相输入端,与加在同相输入端的基准电压 Uref相比较,两者的差值经放大器A放大后,控制串联调整管的压降, 从而稳定输出电压。 2.2.当输出电压Uout增大时,基准电压与取样电压的差值增大,經比較 器A放大后,使Ub和ic減小,串联调整管Uce增大,使輸出电压降低, 從而維持Uo基本不變. 這個過程可以看成是Uo上升,U-上升,Ub下降,ic下降,Uce上升, Uo下降.

IC测试原理解析 第四部分-射频与无线芯片

IC测试原理解析第四部分 发射器测试基础 如图1所示,数字通信系统发射器由以下几个部分构成: CODEC(编码/解码器) 符号编码 基带滤波器(FIR) IQ调制 上变频器(Upconverter) 功率放大器 CODEC使用数字信号处理方法( DSP)来编码声音信号,以进行数据压缩。它还完成其它一些功能,包括卷积编码和交织编码。卷积编码复制每个输入位,用这些冗余位来进行错误校验并增加了编码增益。交织编码能让码位错误分布比较均匀,从而使得错误校验的效率更高。 符号编码把数据和信息转化为I/Q信号,并把符号定义成某个特定的调制格式。基带滤波和调制整形滤波器通过修整I/Q调制信号的陡峭边沿来提高带宽的使用效率。 IQ调制器使得I/Q信号相互正交(积分意义上),因此它们之间不会相互干扰。IQ调制器的输出为是IQ 信号的组合,就是一个单一的中频信号。该中频信号经过上变频器转换为射频信号后,再通过放大后进行发射。

Figure 1. 通用数字通信系统发射器的简单模块图 先进的数字信号处理和专用应用芯片技术提高了数字系统的集成度。现在一块单一的芯片就集成了从ADC转换到中频调制输出的大部分功能。因此,模块级和芯片级的射频测试点会减少很多,发射器系统级和天线端的测试和故障分析就变得更加重要。 发射器的主要测试内容 信道内测试 信道内测试采用时分复用或者码分复用的方法来测试无线数字电路。复用指的是频率或者空间上的复用等。在时分多址(TDMA)技术中,一个信道可以定义为在一系列重复出现的帧里面特定的频段和时隙,而在码分多址(CDMA)技术中,信道定义为特定的码段和频段。信道内和信道外这两个术语指的是我们所感兴趣的频段(频率信道),而不是指频率带宽内信道的时隙或者码段。 发射器信道带宽是最先进行的测试,它决定了发射器发射信号的频谱特性。通过频谱的形状和特性可以发现设计上的许多错误,并能大概推算出系统符号速率的错误率。

2.3_IC测试要求和步骤(精)

IC 测试要求和步骤 一、测试原理: 对于基于CMOS 工艺制造的IC 芯片,T3Ster 系统可以进行瞬态热测试,并进而得出散热路径上的结构函数。 使用CMOS 工艺制造的芯片,在进行瞬态热测试的时候,将GND 管脚和Vdd 管脚找到,并在GND 管脚接入正电压,Vdd 管脚接入负电压,电流流过CMOS 电路的体二极管,使芯片发热,并进行测试。 图:IC 测试原理——CMOS 芯片的体二极管作为测试对象 或者选择IC 电路中的ESD 保护二极管作为测试的对象,进行瞬态热测试。

图:IC 测试原理——芯片的ESD 保护二极管作为测试对象 结构函数反映了从发热源(Die Chip )到环境(热沉,最后直线向上部分)的热流路径上的所有热容与热阻分布。根据结构函数上斜率(热容与热阻的比值变化,可以区分出代表不同材料的线段。T3Ster 系统用直观的方式,帮助分析热流路径上不同材料的热阻与热容。

图:IC 芯片测试后的结构函数 二、测试的准备和条件: 1,对于复杂的BGA IC来说,首先要按照Block Diagram找到每个Block 中存在体二极管的GND 管脚和Vdd 管脚;

图:IC Block示意图 2,通过一个电路板,将所有Block 中的GND 管脚和Vdd 管脚连接起来,并放置在静态空气箱中进行测试; 图:焊在PWB 板上的BGA IC

图:放置在静态空气箱中的BGA IC和电路板 3,如果需要进行符合JESD51-14规定的Rthjc 的测试,请准备两种电路板,这两种电路板的导热铜层厚度有区别; 图:不同铜层厚度的FR4电路板

IC测试经验交流

本文主要讨论芯片开发和生产过程中的IC测试基本原理,内容覆盖了基本的测试原理,影响测试决策的基本因素以及IC测试中的常用术语。 2 数字集成电路测试的基本原理 器件测试的主要目的是保证器件在恶劣的环境条件下能完全实现设计规格书所规定的功能及性能指标。用来完成这一功能的自动测试设备是由计算机控制的,因此, 测试工程师必须对计算机科学编程和操作系统有详细的认识,测试工程师必须清晰了解测试设备与器件之间的接口,懂得怎样模拟器件将来的电操作环境,这样器件被测试的条件类似于将来的应用环境。 首先有一点必须明显的是,测试成本是一个很重要的因素,关键目的之一就是帮助降低器件的生产成本,甚至在优化的条件下,测试成本有时能占到器件总体成本的40%左右,良品率和测试时间必须达到一个平衡,以取得最好的成本效率。 2.1 不同测试目标的考虑 依照器件开发和制造阶段的不同,采用的工艺技术的不同,测试项目种类的不同以及待测器件的不同,测试技术可以分为很多种类。 器件开发阶段的测试包括:特征分析:保证设计的正确性,决定器件的性能参数; 产品测试:确保器件的规格和功能正确的前提下减少测试时间提高成本效率; 可靠性测试:保证器件能在规定的年限之内正确工作; 来料检查:保证在系统生产过程中所有使用的器件都能满足它本身规格书要求,并能正确工作。 制造阶段的测试包括: 圆片测试:在圆片测试中,要让测试衣管脚与器件尽可能地靠近,保证电缆,测试衣和器件之间的阻抗匹配,以便于时序调整和矫正。因而探针卡的阻抗匹配和延时问题必须加以考虑。 封装测试:器件插座和测试头之间的电线引起的电感是芯片载体及封装测试的一个首要的考虑因素。 特征分析测试,包括门临界电压、多域临界电压、旁路电容、金属场临界电压、多层间电阻,金属多点接触电阻、扩散层电阻,接触电阻以及FET寄生漏电等参数测试。 通常的工艺种类包括: TTL、ECL、CMOS、NMOS、Others 通常的测试项目种类: 功能测试:真值表、算法向量生成 直流参数测试:开路/短路测试,输出驱动电流测试、漏电电源测试、电源电流测试、转换电平测试等。 交流参数测试:传输延迟测试,建立保持时间测试、功能速度测试、存取时间测试、刷新/等待时间测试,上升/下降时间测试。 2.2 直流参数测试 直流测试是基于欧姆定律的用来确定器件电参数的稳态测试方法。比如,漏电流测试就是在输入管脚施加电压,这使输入管脚与电源或地之间的电阻上有电流通过, 然后测量其该管脚电流的测试,输出驱动电流测试就是在输出管脚上施加一定电流,然后测量该管脚与地或电源之间的电压差。 通常的DC测试包括: 接触测试(短路-开路):这项测试保证测试接口与器件正常连接,接触测试通过测量输入输出管脚上保护二极管的自然压降来确定连接性。二极管上如果施加一个适当的正向

常用IC的检测方法

常用IC的检测方法 1.IC检测的方法: 现在的电子产品往往由于一块集成电路损坏,导致一部分或几个部分不能常工作,影响设备的正常使用。那么如何检测集成电路的好坏呢?通常一台设备里面有许多个集成电路,当拿到一部有故障的集成电路的设备时,首先要根据故障现象,判断出故障的大体部位,然后通过测量,把故障的可能部位逐步缩小,最后找到故障所在。 要找到故障所在必须通过检测,通常修理人员都采用测引脚电压方法来判断,但这只能判断出故障的大致部位,而且有的引脚反应不灵敏,甚至有的没有什么反应。就是在电压偏离的情况下,也包含外围元件损坏的因素,还必须将集成块内部故障与外围故障严格区别开来,因此单靠某一种方法对集成电路是很难检测的,必须依赖综合的检测手段。现以万用表检测为例,介绍其具体方法。 我们知道,集成块使用时,总有一个引脚与印制电路板上的“地”线是焊通的,在电路中称之为接地脚。由于集成电路内部都采用直接耦合,因此,集成块的其它引脚与接地脚之间都存在着确定的直流电阻,这种确定的直流电阻称为该脚内部等效直流电阻,简称R内。当我们拿到一块新的集成块时,可通过用万用表测量各引脚的内部等效直流电阻来判断其好坏,若各引脚的内部等效电阻R 内与标准值相符,说明这块集成块是好的,反之若与标准值相差过大,说明集成块内部损坏。测量时有一点必须注意,由于集成块内部有大量的三极管,二极管等非线性元件,在测量中单测得一个阻值还不能判断其好坏,必须互换表笔再测一次,获得正反向两个阻值。只有当R内正反向阻值都符合标准,才能断定该集成块完好。 在实际修理中,通常采用在路测量。先测量其引脚电压,如果电压异常,可断开引脚连线测接线端电压,以判断电压变化是外围元件引起,还是集成块内部引起。也可以采用测外部电路到地之间的直流等效电阻(称R外)来判断,通常在电路中测得的集成块某引脚与接地脚之间的直流电阻(在路电阻),实际是R内与R外并联的总直流等效电阻。在修理中常将在路电压与在路电阻的测量方法结合使用。有时在路电压和在路电阻偏离标准值,并不一定是集成块损坏,而是有关外围元件损坏,使R外不正常,从而造成在路电压和在路电阻的异常。这时便只能测量集成块内部直流等效电阻,才能判定集成块是否损坏。 根据实际检修经验,在路检测集成电路内部直流等效电阻时可不必把集成块从电路上焊下来,只需将电压或在路电阻异常的脚与电路断开,同时将接地脚也

IC测试原理-芯片测试原理

IC测试原理-芯片测试原理 许伟达 (科利登系统有限公司) 1 引言 芯片测试原理讨论在芯片开发和生产过程中芯片测试的基本原理,一共分为四章,下面将要介绍的是第二章。我们在第一章介绍了芯片测试的基本原理; 第二章讨论了怎么把这些基本原理应用到存储器和逻辑芯片的测试上;本文主要介绍混合信号芯片的测试; 接下来的第四章将会介绍射频/无线芯片的测试。 2 混合信号芯片测试基础 利用基于数字信号处理(DSP)的测试技术来测试混合信号芯片与传统的测试技术相比有许多优势。这些优势包括: ·由于能并行地进行参数测试,所以能减少测试时间; ·由于能把各个频率的信号分量区分开来(也就是能把噪声和失真从测试频率或者其它频率分量中分离出来),所以能增加测试的精度和可重复性。 ·由于拥有很多阵列处理函数,比如说求平均数等,这对混合信号测试非常有用 3 采样和重建 采样用于把信号从连续信号(模拟信号)转换到离散信号(数字信号),重建用于实现相反的过程。自动测试设备(ATE)依靠采样和重建给待测芯片(DUT)施加信号或者测量它们的响应。测试中包含了数学上的和物理上的采样和重建。图1中说明了在测试一个音频接口芯片时用到的各种采样和重建方法。

纯数学理论上,如果满足某些条件,连续信号在采样之后可以通过重建完全恢复到原始信号,而没有任何信号质量上的损失。不幸的是,现实世界中总不能如此完美,实际的连续信号和离散信号之间的转换总会有的信号损失。 我们周围物理世界的许多信号比如说声音波形,光强,温度,压力都是模拟的。现今基于信号处理的电子系统都必须先把这些模拟信号转换为能与数字存储,数字传输和数学处理兼容的离散数字信号。接下来可以把这些离散数字信号存储在计算机阵列之中用数字信号处理函数进行必要的数学处理。 重建是采样的反过程。此过程中,被采样的波形(脉冲数字信号)通过一个类似数模转换器(DAC)一样的硬件电路转换为连续信号波形。重建会在各个采样点之间填补上丢失的波形。DAC和滤波器的组合就是一个重建的过程,可以用图2所示的冲击响应p(t)来表示。 4 混合信号测试介绍 最常见的混合信号芯片有:模拟开关,它的晶体管电阻随着数字信号变化;可编程增益放大器(PGAs),

IC测试简述

IC测试简述 随着集成电路制造技术的进步,人们已经能制造出电路结构相当复杂、集成度很高、功能各异的集成电路。但是这些高集成度,多功能的集成块仅是通过数目有限的引脚完成和外部电路的连接,这就给判定集成电路的好坏带来不少困难。 什么是测试? 任何一块集成电路都是为完成一定的电特性功能而设计的单片模块,集成电路的测试就是运用各种方法,检测那些在制造过程中由于物理缺陷而引起的不符合要求的样品。如果存在无缺陷的工程的话,集成电路的测试也就不需要了。可是由于实际的制作过程所带来的以及材料本身或多或少都有的缺陷,因而无论怎样完美的工程都会产生不良的个体,因而测试也就成为集成电路制造中不可缺少的工程之一。 就模拟电路的测试而言,一般分为以下两类测试,第一类是直流特性测试,主要包括端子电压特性、端子电流特性等;第二类是交流特性测试,这些交流特性和该电路完成的特定功能密切有关,比如一块音频功放电路,其增益指标、输出功率、失真指标等都是很重要的参数;色处理电路中色解码部分的色差信号输出,色相位等参数也是很重要的交流测试项目。 如从生产流程方面讲,一般分为芯片测试、成品测试和检验测试,除非特别需要,芯片测试一般只进行直流测试,而成品测试既可以有交流测试,也可以有直流测试,在更多的情况下,这两种测试都有。在一条量产的生产线上,检验测试尤为重要,它一般进行和成品测试一样的内容,它是代表用户对即将入库的成品进行检验,体现了对实物质量以及制造部门工作质量的监督。 产品测试文件的编制思想 测试项目和测试条件、测试规范这些通称为测试文件。 特定的集成电路服务于特定的用途,因而集成电路的规格均是根据用户应用的要求而提出来的。通过和用户的讨论,根据设计和生产的能力尽量去满足用户的需要,比如,用户提出的电源电压范围,输入电压、负载大小,封装形式,该产品的应用环境等。 应该指出的是测试项目、条件和规范并不是一成不变的,在产品设计和试制阶段的测试文件和最终形成的文件可能会有很大的差异,这是很容易理解的,主要原因是因为产品的测试项目有一个不断完善的过程,本来认为有必要测试的项目可能因为制造工艺的稳定而不再需要测试,而同时很可能会增加一些由于用户在使用过程中提出来的新的测试项目。 开短路测试(openshort) 开短路测试(open_short_test)又叫continuity test 或contact test,它是一种非常快速发现芯片的各个引脚间的是否有短路,及在芯片封装时是否missing bond wires.通常都会被放测试程序的最前面.它还能发现测试时接触是否良好,探针卡或测试座是否有问题. 开短路测试的测试原理比较简单,分open_short_to_VDD 测试和open_short_to_VSS测试.一般来说芯片的每个引角都有泄放或说保护电路,是两个首尾相接的二极管,一端接VDD,一端接VSS。信号是从两个二极管的接点进来.测试时,先把芯片的VDD引脚接0伏(或接地),再给每个芯片引脚供给一个100uA到500uA从测试机到芯片的电流,电流会经上端二极管流

相关主题
相关文档 最新文档