当前位置:文档之家› veriloghdl数字设计与综合答案

veriloghdl数字设计与综合答案

veriloghdl数字设计与综合答案
veriloghdl数字设计与综合答案

veriloghdl数字设计与综合答案

【篇一:verilog习题选答】

txt>答:fpga中,由程序来转换为可烧录的二进制码。ic设计中,主要是由design-compiler来实现。

2.能否说模块相当于电路图中的功能模块,端口相当于功能模块的 3.assign声明语句,实例元件,always块,这三类描述中哪一种直接与电路结构有关?

4.由连续赋值语句(assign)赋值的变量能否是reg型的?

答:赋值运算分为连续赋值和过程赋值两种。

(1)连续赋值连续赋值语句只能对线网型变量进行赋值,而不能对寄存器型变量进行

赋值,基本的语法结构为:assign #(延时量) 线网型变量名 = 赋值表达式; 一个线网型变量一旦被连续赋值语句赋值后,赋值语句右端赋值表达式的值将持续对赋值变量产生连续驱动,只要右端表达式任一操作数的值发生变化,就会立即触发对赋值变量的更新操作。(2)过程赋值过程赋值主要用于两种结构化模块(initial和always)中的赋值语句。

在过程块中只能使用过程赋值语句,不能在过程块中出现连续赋值语句,同时过程赋值语句也只能用在过程赋值模块中。基本的语法结构为:被赋值变量赋值操作符赋值表达式,其中,赋值操作符是“=”或“=”,它分别代表了阻塞赋值和非阻塞赋值类型。过程赋值语句只能对寄存器类型的变量进行赋值,经过赋值后,上面这些变量的值将保持不变,直到另一条赋值语句对变量重新赋值为止。

5.如果都不带时间延迟、阻塞和非阻塞赋值有何不同?说明它们的不同点?答:代码1:

module test(a,b,c,d,y); //两个与逻辑,1个或逻辑

input a,b,c,d;

output y;

reg y,tmp1,tmp2;

always @(a or b or c or d)// y的值并不等于当前的tmp1,tmp2相或的值,而是等于上 begin一次运算时tmp1,tmp2相或的值。相当于一个延迟,在第2 tmp1 = ab; 次always模块运行完后得到想要的y值

tmp2 = cd;

y = tmp1|tmp2;

endendmodule

代码2:基本与代码1一样,只是在always的敏感列表中加入了temp1,temp2

module test(a,b,c,d,y);

input a,b,c,d;

output y;

reg y,tmp1,tmp2;

always @(a or b or c or d or tmp1 or tmp2)//与代码一不同,

begin

tmp1 = ab;

tmp2 = cd;

y = tmp1|tmp2;

end

endmodule

代码3:在代码2中加进参数j,来帮助判断always模块的运行次数:

module test(a,b,c,d,y);

input a,b,c,d;

output y;

reg y,tmp1,tmp2;

reg [8:0]j=0;

always @(a or b or c or d or tmp1 or tmp2)

begin

j = j + 1;

#5 //这里加了一个延时,方便分析

tmp1 = ab;

延迟消失了。

tmp2 = cd;

y = tmp1|tmp2;

end

endmodule

首先j从0增至1,为阻塞赋值,然后延迟5,开始下面的非阻塞赋值,然后temp1,temp2得到了新的值,但是y还是并没有更新,而是和代码一中一样保持。第一次always执行完毕。由于temp1的值得到了更新,随即再次运行always模块,j从1增加到2,然后延迟5,而此时a,b,c,d的值没有变,因此temp1,temp2没有变

化,但是y却得到了第一次temp1,temp2变化后相或的值,发生

跳变。

由上可以看出,本来只要1次就能完成的组合逻辑,由于采用了非

阻塞赋值,仿真器不得不两次进入always模块,因此可以遵循这样

的原则,写组合逻辑的时候,always中要用阻塞赋值,写时序逻辑

的时候,always模块中要用非阻塞赋值。(当然不排除为了特殊的

目的不遵循这个建议)

6.defparam命令的使用,模块实例化和模块引用

在一个模块中改变另一个模块的参数时,需要使用defparam命令,高层模块可以改变低层模块用parameter定义的值,改变低层模块

的参数值有以下方式:

(1) defparam 层次路径 = 改变后的值(见书本32页)

(2)实例化时传递参数模块名 #(改变后参数的值)实例名(输

入输出)可以利用defparam命令定义参数,语法结构为:

模块名例化模块名(输入输出);

defparam 例化模块名. 参数名=value;

模块实例引用时参数的传递还可以利用特殊符号“#”,语法结构为:模块名 # (改变后的参数值)例化模块名(输入输出端口)

7.同步清零d触发器和异步清零d触发器

module dff_tongbu (q,d,clk,clr);//同步清零受时钟控制

input d,clk,clr;

output q;

reg q;

always @(negedge clock)

if (clr) q=0;

else q=d;

endmodule

module dff_yibu(q,d,clk,clr);//异步清零不受时钟控制

input d,clk,clr;

output q;

reg q;

always @(clr)

if (clr) q=0;else q=d;

使用同步清零d触发器输出一个周期为10个时间单位的时钟信号:

8. 敏感变量的描述完备性

verilog中,用always模块设计组合逻辑电路时,在赋值表达式右

端参与赋值的所有信号都必须在always@敏感列表中列出。如果在

赋值表达式右端引用了敏感列表中没有列出的信号,在综合时会为

没有列出的信号隐含地产生一个透明锁存器,这是因为该信号的变

化不会立即引起所赋值的变化,而必须要等到敏感列表中的某个信

号发生变化时,它的作用才表现出来,相当于存在一个透明锁存器,把该信号的变化暂存起来。

【篇二:fpga习题集及参考答案】

一、填空题

1. 一般把eda技术的发展分为()个阶段。

2. fpga/cpld有如下设计步骤:①原理图/hdl文本输入、②适配、

③功能仿真、④综合、

⑤编程下载、⑥硬件测试,正确的设计顺序是①()⑤⑥。

3. 在eda工具中,能完成在目标系统器件上布局布线的软件称为()。

4. 设计输入完成之后,应立即对文件进行()。

5. 基于硬件描述语言的数字系统设计目前最常用的设计方法称为()设计法。

6. 将硬件描述语言转化为硬件电路的过程称为()。

7. ip核在eda技术和开发中具有十分重要的地位,以hdl方式提供

的ip被称为()

ip。

8. soc系统又称为()系统。sopc系统又称为()系统。

9. 将硬核和固核作为()ip核,而软核作为()ip核。

10. ip核在eda技术和开发中具有十分重要的地位,以hdl方式提

供的ip被称为()。

11. hdl综合器就是逻辑综合的过程,把可综合的vhdl/verilog hdl

转化成硬件电路时,

包含了三个过程,分别是()、()、()。

12. eda软件工具大致可以由五个模块构成,分别是设计输入编辑器、()、()、

()和()。

13. 按仿真电路描述级别的不同,hdl仿真器分为()仿真、()仿真、()

仿真和门级仿真。

14. 系统仿真分为()、()和()。

15. ()仿真是对设计输入的规范检测,这种仿真通过只能表示编译通过,说明设计

满足一定的语法规范,但不能保证设计功能满足期望。

16. ()仿真是对综合后的网表进行的仿真,它验证设计模块的基本逻辑功能,但不

带有布局布线后产生的时序信息,是理想情况下的验证。

17. ()仿真是布局布线后进行的后仿真,仿真时考虑了布线延时,和芯片实际的工

作情况更加接近。

18. 目前xilinx公司生产的fpga主要采用了()配置存储器结构。

19. 描述测试信号的变化和测试工程的模块叫做()。

20. 现代电子系统设计领域中的eda采用()的设计方法。

21. 有限状态机可分为()状态机和()状态机两类。

22. verilog hdl中的端口类型有三类:()、()、输入/输出端口。

23. verilog hdl常用两大数据类型:()、()。

24. fpga / cpld设计流程为:原理图/hdl文本输入→()→综合→

适配→()→

编程下载→硬件测试。

25. ()是描述数据在寄存器之间流动和处理的过程。

26. 连续赋值常用于数据流行为建模,常以()为关键词。

27. verilog hdl有两种过程赋值方式:()和()。

28. `timescale 1ns/100ps中1ns代表(),100ps代表()。

29. 未来的集成电路技术的发展趋势,把整上系统集成在一个芯片上去,这种芯片被称为

()。

30. 从互连结构上可将pld分为确定型和统计型两类。确定型结构的代表是(),

统计型结构代表是()。

31. cpld是由()的结构演变而来的。

32. fpga的核心部分是(),由内部逻辑块矩阵和周围i/o接口模块组成。

33. 把基于电可擦除存储单元的eeprom或flash 技术的cpld 的在

系统下载称为

(),这个过程就是把编程数据写入e2cmos单元阵列的过程。

34. 根据配置数据线数,器件配置可分为并行配置和串行配置两类。串行配置以()

为单位将配置数据载人可编程器件:而并行配置一般以()为单位

向可编程器件载入配置数据。

35. fpga的配置模式有从动串行模式、从动并行模式、主动串行模式、主动并行模式、以

及()模式。

36. 可编程逻辑器件的配置方式分为()和()两类。

37. veriloghdl是在()年正式推出的。

38. 在verilog hdl的always块本身是()语句。

39. verilog hdl中的always语句中的语句是()语句。

40. verilog hdl提供了标准的系统任务,用于常用的操作。如显示、文件输入/输出等,系

统函数前都有一个标志符()加以确认。

41. verilog hdl很好地支持了“自顶向下”的设计理念,即,复杂任

务分解成的小模块完成

后,可以通过()的方式,将系统组装起来。

42. verilog hdl模块分为两种类型:一种是()模块,即,描述某

种电路系统结构,

功能,以综合或者提供仿真模型为设计目的;另一种是()模块,即,为功能模块的测试提供信号源激励、输出数据监测。

43. verilog语言中,标识符可以是任意一组字母、数字、()符号

和下划线符号的组

合。

44. state,state ,这两个标识符是()同。

45. assign c=ab? a: b中,若a=3,b=2,则c=();若a=2,b=3,则

c=()。

46. 在verilog hdl的逻辑运算中,设a=4′b1010,则表达式~a的结

果为()

47. 在verilog hdl的逻辑运算中,设a=2 ,b=0,则a b结果为(), a || b 结

果为()。

48. 在verilog hdl的逻辑运算中,设a = 4′b1010, a 1结果是()。

二、 eda名词解释

1. asic,

2.cpld,

3.fpga,

4.ic,

5.lut .

6.pcb.

7.rtl,

8.fsm,

9.gal,10.isp,

11.jatg,

12.pbd,13.bbd

三、选择题

1.任verilog hdl的端口声明语句中,用()关键字声明端口为双向端口

a:inout b:inout c:buffer d:buffer

2.用verilog hdl的assign语句建模的方法一般称为()方法。 a:连续赋值b:并行赋值 c:串行赋值 d:函数赋值

3. ip核在eda技术和开发中具有十分重要的地位,ip是指()。 a:知识产权b:互联网协议c:网络地址 d:都不是

4.在verilog hdl的always块本身是()语句

a:顺序 b:并行 c:顺序或并行 d:串行

5.在verilog hdl的逻辑运算中,设

a=8b11010001,b=8b00011001,则表达式“ab”的结果

为()

a:8b00010001 b:8b11011001 c:8b11001000d:8b00110111 6.大规模可编程器件主要有fpga、cpld两类,下列对fpga结构与工作原理的描述中,

正确的是()。

a:fpga是基于乘积项结构的可编程逻辑器件;

b:fpga是全称为复杂可编程逻辑器件;

c:基于sram的fpga器件,在每次上电后必须进行一次配置;

d:在altera公司生产的器件中,max7000系列属fpga结构。

7.下列eda软件中,哪一个不具有逻辑综合功能:()。

a: ise b: modelsim c: quartus ii d:synplify

8.下列标识符中,()是不合法的标识符。

a: state0 b: 9moon c: not_ack_0 d: signal@

9.关于verilog hdl中的数字,请找出以下数字中最大的一个:()。

a:8′b1111_1110 b:3′o276 c:3′d170d:2′h3e

10.大规模可编程器件主要有fpga、cpld两类,下列对cpld结构与工作原理的描述中,

正确的是()。

a:cpld是基于查找表结构的可编程逻辑器件;

b:cpld即是现场可编程逻辑器件的英文简称;

c:早期的cpld是从gal的结构扩展而来;

d:在xilinx公司生产的器件中,xc9500系列属cpld结构;

11. ip核在eda技术和开发中具有十分重要的地位;提供用vhdl 等硬件描述语言描述的

功能块,但不涉及实现该功能块的具体电路的ip核为()。

a :瘦ip b:固ipc:胖ip d:都不是

12.不完整的if语句,其综合结果可实现()。

a:时序逻辑电路 b:组合逻辑电路 c:双向电路 d:三态控制电路

13. cpld的可编程是主要基于什么结构()。

a :查找表(lut) c: pal可编程 b: rom可编程 d:与或阵列可编程

14. ip核在eda技术和开发中具有十分重要的地位,以hdl方式提供的ip被称为:()

a:硬ipb:固ip c:软ipd:都不是;

15.设a = 4′b1010,b=4′b0001,c= 4′b1xz0则下列式子的值为1的是()

a:a bb:a = c c:13 - a bd:13 – (ab)

16.设a=2 ,b=0,则下列式子中等于x的是()。

a: a bb: a || b c: !a d: x a

17. fpga可编程逻辑基于的可编程结构基于()。

a: lut结构 b:乘积项结构 c:pldd:都不对

18. cpld 可编程逻辑基于的可编程结构基于()。

a: lut结构b:乘积项结构 c: pld d:都不对

19.下列运算符优先级最高的是()。

a:! b: + c :d:{}

20.设a = 1′b1,b = 3′b101,c = 4′b1010则x= {a,b,c}的值的等于()

a:7′b1101100 b:8′b 10101011 c:8′b 11010101 d:

8′b11011010

21.将设计的系统按照eda开发软件要求的某种形式表示出来,并送入计算机的过程,称

为()。

a:设计的输入 b:设计的输出 c:仿真 d:综合

22.一般把eda技术的发展分为()个阶段。

a:2 b: 3 c:4 d:5

23.设计输入完成之后,应立即对文件进行()。

a:编译 b:编辑 c:功能仿真 d:时序仿真

24. vhdl是在()年正式推出的。

a:1983 b:1985c:1987d:1989

25. verilog hdl是在()年正式推出的。

a:1983 b:1985c:1987d:1989

26.基于硬件描述语言的数字系统设计目前最常用的设计方法称为()设计法。

a:自底向上 b:自顶向下c:积木式 d:顶层

27.在eda工具中,能将硬件描述语言转化为硬件电路的重要工具软件为()。

a:仿真器b:综合器 c:适配器 d:下载器

28.在eda工具中,能完成在目标系统器件上布局布线的软件称为()。

a:仿真器b:综合器 c:适配器 d:下载器

29.逻辑器件()属于非用户定制电路。

a:逻辑门 b: promc:pla d:gal

30.可编程逻辑器件pld属于()电路。

a:半用户定制b:全用户定制 c:自动生成d:非用户定制

31.不属于pld基本结构部分的是()。

a:与门阵列b:输入缓存 c:与非门阵列D:或门阵列

32.任verilog hdl的标识符使用字母的规则是()。

a:大小写相同b:大小写不同 c:只允许大写 d:只允许小写

33.操作符是verilog hdl预定义的函数命名,操作符是由()字符组成的。

a:1b:2 c:3d:1~3

34.在verilog hdl模块中,task语句类似高级语言中的()。 a:函数b:常数c:变量d:子程序

35.在verilog hdl模块中,函数调用时返回一个用于()的值。 a:表达式 b:输出c:输入 d:程序包

36. verilog hdl中的always语句中的语句是()语句。

a:串行b:顺序 c:并行d:顺序或并行

37.嵌套的if语句,其综合结果可实现()。

a:条件相与的逻辑b:条件相或的逻辑c:条件相异或的逻辑d:三态控制电路

38.嵌套的使用if语句,其综合结果可实现()。

a:带优先级且条件相与的逻辑电路b:双向控制电路

c:三态控制电路d:条件相异或的逻辑电路

39.下列哪个fpga/cpld设计流程是正确的()。

a:原理图/hdl文本输入-功能仿真-综合-适配-编程下载-硬件测试

b:原理图/hdl文本输入-适配-综合-功能仿真-编程下载-硬件测试c:原理图/hdl文本输入-功能仿真-综合-编程下载-适配-硬件测试

d:原理图/hdl文本输入-适配-功能仿真-综合-编程下载-硬件测试

四、简答题

1.简述eda技术的发展历程?

2.什么是eda技术?

3.在eda技术中,什么是自顶向下的设计方法?

4.自顶向下的设计方法有什么重要意义?

5.简要说明目前现代数字系统的发展趋势是什么?

6.简述现代数字系统设计流程。

7.简述原理图设计法设计流程。

8.简述原理图设计法设计方法的优缺点。

9.什么是综合?综合的步骤是什么?

10.什么是基于平台的设计?现有平台分为哪几个类型?

11.目前,目前数字专用集成电路的设计主要采用三种方式?各有

什么特点?

12.什么是soc技术含义是什么?什么是sopc?

13.sopc技术含义是什么?sopc技术和soc技术的区别是什么? 14. sopc技术是指什么?sopc的技术优势是什么?

15.简要说明一下功能仿真和时序仿真的异同。设计过程中如果只

做功能仿真,不做时序仿

真,设计的正确性是否能得到保证?

16.综合完成的主要工作是什么?实现(implement)完成的主要

工作是什么?

17.主要的hdl语言是哪两种?verilog hdl 语言的特点是什么?

18.简述阻塞赋值与非阻塞赋值的不同。

19.简述过程赋值和连续赋值的区别。

20.什么叫做ip核?ip在设计中的作用是什么?

21.什么是ip软核,它的特点是什么?

22.根据有效形式将ip分为哪几类?根据功能方面的划分分为哪两类?

23.比较基于查找表的fpga和cpld系统结构和性能上有何不同? 24.什么是数据流级建模?什么是行为级建模?

25. timescale指令的作用是什么。

26.采用hdl完成设计后,必须应用测试程序(testbench)对设计的正确性进行验证。测

27.什么是fpga,cpld?他们分别是基于什么结构的可编程逻辑结构?

28. cpld是基于什么结构的可编程逻辑器件?其基本结构由哪几部分组成。

29. fpga是于什么结构的可编程逻辑器件?其基本结构由哪几部分组成。

30. pld器件按照编程方式不同,可以分为哪几类?

31.解释编程与配置这两个概念。

32.说明fpga配置有哪些模式,主动配置和从动配置的主要区别是什么?

33.为什么在fpga构成的数字系统中要配备一个prom或

e2prom?

五、程序补充完整

1.下面程序是一个3-8译码器的veriloghdl描述,试补充完整。空(1) decoder_38(out,in)

output[7:0] out;

input[2:0] in;

reg[7:0] out

空(2)@(in)

begin

(in)

3′d0:out=8′b11111110;

3′d1:out=8′b11111101;

【篇三:fpga题及答案】

件:软件:);掌握一种语言。

2、使用quartus ii进行逻辑设计,常用的设计思想的输入方式有:原理图、等。

3、高级语言c程序经过软件程序编译器形成cpu指令/数据代码流;verilog hdl程序经过综合器形成电路网表文件

4、cpld是在pal,gal等类型器件的基础上发展起来的与或阵列型pld器件,大多数fpga采用了查找表结构,其物理结构是静态存储

器sram.。

5、jtag边界扫描技术用于对高密度、引脚密集的器件和系统进行测试,如:cpu,dsp,arm,pld等。同时,jtag接口也被赋予了更

多的功能:编程下载、在线逻辑分析。

6、使用verilog hdl进行逻辑设计,变量的值有4种状态:0、1、x、z;

7、定义逻辑功能的几种基本方法:用assign持续赋值语句定义、

用always过程块定义、调用元件(元件例化)。

8、整数按如下方式书写:+/-size basevalue 即 +/-位宽进制数字size 为对应二进制数的宽度;base为进制;value是基于进制的数

字序列。进制有如下4种表示形式:

二进制(b或b)、十进制(d或d或缺省)、十六进制(h或h)、八进制(o或o)

9、定义reg型标量型变量: //变量名 qout

10、定义wire型向量://databus的宽度是8位

11、在状态机设计中使用一位热码定义5种状态,并定义状态变量:

12、在状态机设计中使用顺序码定义5种状态,并定义状态变量:

1、成为ieee标准的hdl有

2、quartus ii 是(a )公司的( d)开发工具。

3、modelsim 是mentor公司的出色的仿真软件,它属于编译型

(仿真)器,速度快,功能强。

4、使用altera 公司的quartus ii 和fpga器件能够进行数字电路设计、dsp设计、sopc设计

5、使用verilog hdl进行逻辑设计,端口类型有

6、使用verilog hdl进行逻辑设计,可综合的变量类型有

7、a=5`b11001; b=5`b10101;下面那个是正确的

8、下面那些语句可以被综合成电路 b、alwaysc、assignd、

`definee、for

module voter7(pass,vote);

output pass;

input[6:0] vote;

reg[2:0] sum;integer i;reg pass;

always @(vote)

beginsum=0;

for(i=0;i=6;i=i+1) //for语句

if(vote[i]) sum=sum+1;

if(sum[2]) pass=1;//超过4人赞成,则通过

else pass=0;

end endmodule

②8、4选1数据选择器

module mux4_1(out,in0,in1,in2,in3,sel);

output out;

input in0,in1,in2,in3;

input[1:0] sel; reg out;

always @(in0 or in1 or in2 or in3 or sel)

//敏感信号列表

case(sel)

2b00:

2b01:

out=in0; out=in1;

2b10: out=in2;

2b11: out=in3;

default: out=x;

endcase endmodule

③13、带同步清0/同步置1(低电平有效)的d触发器

module dff_syn(q,qn,d,clk,set,reset);

input d,clk,set,reset; output reg q,qn;

always @(posedge clk)

begin

if(~reset) begin q=1b0;qn=1b1;end

//同步清0,低电平有效

else if(~set) begin q=1b1;qn=1b0;end

//同步置1,低电平有效

else begin q=d; qn=~d; end

end

endmodule

④14、带异步清0/异步置1(低电平有效)的d触发器

module dff_asyn(q,qn,d,clk,set,reset);

input d,clk,set,reset; output reg q,qn;

always @(posedge clk or negedge set or negedge reset) begin

if(~reset) begin q=1b0;qn=1b1; end

//异步清0,低电平有效

else if(~set) begin q=1b1;qn=1b0; end

//异步置1,低电平有效

elsebegin q=d;qn=~d; end

end endmodule

⑤18、分频器设计(偶数等占空比分频;1:15占空比分频)

a、

module div6(clk,rst,clk6);

input rst,clk;

output clk6;

reg clk6;

reg [1:0] cnt;

always@(posedge clk or posedge rst)

begin

if(rst==1’b1)

cnt=2’b00;

else if(cnt==2)begin

cnt=2’b00;

clk6=~clk6;

end

else cnt=cnt+1;

end

endmodule

b、

module div1_15(clk,rst, clk16);

input rst,clk;

output clk16;

reg clk16;

reg [3:0] cnt;

always@(posedge clk or posedge rst)

begin

if(rst==1’b1)

cnt=4’b0000;

else cnt=cnt+1;

end

always@(posedge clk or posedge rst)

begin

if(rst==1’b1)

clk16=1’b0;

else if(clk16==15)

clk16=1’b1;

else clk16=1’b0;

end

endmodule

⑥19、画出状态转移图,并采用有限状态机设计一个彩灯控制器,要求控制8个led灯实现如下的演示花型:

从两边往中间逐个亮;全灭;从中间往两头逐个亮;全灭;循环执行上述过程

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

entity sfwy is

port(clk:in std_logic;

led: out std_logic_vector(7 downto 0));

end;

architecture one of sfwy is

signal led_r: std_logic_vector(8 downto 0);

signal tmp:std_logic_vector(25 downto 0);

signal q:std_logic;

begin

begin

if clk event and clk=1then tmp=tmp+1;

end if;

end process;

q=tmp(25);

led=led_r(7 downto 0);

process(q)

begin

if qevent and q=1then

led_r=led_r(7 downto 0) 0;

if led_r=000000000 then--循环完毕吗? led_r=111111111;--是,则重新赋初值

end if;

end if;

end process; end one;

⑦20、画出状态转移图,并采用有限状态机设计一个“1001”串行数据检测器。其输入、输出如下所示:输入x:000 101 010 010 011 101 001 110 101

输出z:

000 000 000 010 010 000 001 000 000

初始状态设为s0,此时检测数据序列为“0000”,当再检测到一个0时,仍为s0,当检测到1时,进入下一个状态s1,此时序列为“0001”;当在状态s1检测到0时,进入到状态s2,此时序列为“0010”,

数字逻辑和设计基础 期末复习题

1、采用3-8线译码器74LS138和门电路构成的逻辑电路如图所示,请对该电路进行分析,写出输出方程,并化解为最简与-或式。(10分) 1、解:分析此图,可知:F1=0134m m m m +++, F2=4567m m m m +++ 化简过程:由卡诺图及公式化简均可,此处略 化简得:1F A C BC =+(2分) 2F A = 2.已知逻辑函数: F ABC ABC ABC ABC ABC =++++,试用一片4选1数据选择器和门电路实现该逻辑函数,要求采用代数法,写出设计全过程,并画出电路图。 (10分) A 1 ST Y D 0D 1D 2D 3 A 0 ① 写出逻辑函数F 的表达式(2分) ==F A B C AB C ABC A BC ABC A B C AB C C A BC ABC A B C AB A BC ABC =+++++++++++() ② 写出4选1数据选择器输出端逻辑函数Y 的表达式(2分) 100101102103Y A A D A A D A A D A A D =+++ ③令 10A A A ==、B ,比较F 和Y 两式可得: (2分)

01231D C D D D C ==== ④ 根据上式画出的逻辑图。(4分) 五、 画出下列各触发器Q 端的波形:(设Q n = 0)(10 分,每小题5 分) 1、已知JK 触发器输入信号J 和K 、时钟脉冲CP 、异步置位端D R 和D S 的波形如下图 所示,试画出触发器输出端Q 的波形,设初始状态为0。(5分) Q CP J S D D K J 2、下图由边沿D 触发器构成的触发器电路,设其初始状态为0。输入信号如右 图所示,试画出Q 端的输出波形。(5分) CP Q D R D

数字逻辑考试答案

中国石油大学(北京)远程教育学院 《数字逻辑》期末复习题 一、单项选择题 1. TTL 门电路输入端悬空时,应视为( A ) A. 高电平 B. 低电平 C. 不定 D. 高阻 2. 最小项D C B A 的逻辑相邻项是( D ) A .ABCD B .D B C A C .C D AB D .BCD A 3. 全加器中向高位的进位1+i C 为( D ) A. i i i C B A ⊕⊕ B.i i i i i C B A B A )(⊕+ C.i i i C B A ++ D.i i i B C A )(⊕ 4. 一片十六选一数据选择器,它应有( A )位地址输入变量 A. 4 B. 5 C. 10 D. 16 5. 欲对78个信息以二进制代码表示,则最少需要( B )位二进制码 A. 4 B. 7 C. 78 D. 10 6. 十进制数25用8421BCD 码表示为(B ) 101 0101 7. 常用的BCD 码有(C ) A:奇偶校验码 B:格雷码 C:8421码 D:ASCII 码 8. 已知Y A AB AB =++,下列结果中正确的是(C ) A:Y=A B:Y=B C:Y=A+B D: Y A B =+ 9. 下列说法不正确的是( D ) A:同一个逻辑函数的不同描述方法之间可相互转换 B:任何一个逻辑函数都可以化成最小项之和的标准形式 C:具有逻辑相邻性的两个最小项都可以合并为一项 D:任一逻辑函数的最简与或式形式是唯一的 10. 逻辑函数的真值表如下表所示,其最简与或式是(C ) A: ABC ABC ABC ++ B: ABC ABC ABC ++ C: BC AB + D: BC AC + 11.以下不是逻辑代数重要规则的是( D ) 。

数字逻辑设计习题参考答案 (第2,3章)

数字逻辑设计 习题册 班级: 学号: 姓名: 哈尔滨工业大学(威海) 计算机科学与技术学院体系结构教研室

第2章 逻辑代数基础 2—1 填空 1.摩根定理表示为:=?B A _B A + __;=+B A _B A ?__。 2. 函数表达式D C AB Y ++=,则其对偶式为='Y _D C B A ??+)(_______。 3.根据反演规则,若C D C B A Y +++=,则=Y C D C B A ?++)(。 4.函数式CD BC AB F ++=写成最小项之和的形式结果为 ()15,14,113,12,11,7,6,3∑m , 写成最大项之积的形式结果为)10,9,8,5,4,2,1,0(∏M 。 5. (33.33)10 =(100001.0101 )2 =( 41.2 )8 =( 21.5 )16 2—2 证明 1.证明公式()()A BC A B A C +=++成立。 2.证明此公式B A B A A +=+成立。 3.证明此公式)()()()()(C A B A C B C A B A +?+=+?+?+成立。 左边 (由分配律得) 右边 BC A BC B C A BC BA AC AA C A B A +=+++=+++=++)1())((B A A A B B B A B A B A AB AB B A B A AB B A B B A +=+++=+++=++=++=)()()(AC BC A B C A AC B C A C B B A ++=+?+=+ ?+?+=)()()()()(AC BC A B BC A B AC A A ++=+++=

数字逻辑设计及应用 本科1 答案

1 电子科技大学网络教育考卷(A 卷) (20 年至20 学年度第 学期) 考试时间 年 月 日(120分钟) 课程 数字逻辑设计及应用(本科) 教师签名_____ 一、填空题(每空1分,共20分) 1、请完成如下的进制转换:22.7510= 10110.11 2= 26.6 8= 16.C 16; 2、F6.A 16= 246.625 10= 0010 0100 0110.0110 0010 0101 8421BCD = 0101 0111 1001.1001 0101 1000 余3码 3、-9910的8位(包括符号位)二进制原码是 11100011 ,8位二进制反码是 10011100 ,8位二进制补码是 10011101 ; 4、请问逻辑F=A /B+(CD)/+BE /的反函数F /= A C D E CD B /+ ; 解: ACDE CD B CDE B ACDE CD B CD AB ) E B (CD )B A ()BE )CD (B A ( F ///////////+=+++=+??+=++= 5、F(A,B,C)=Σm (2,4,6)=ПM( 0,1,3,4,7 ); 6、请问图1-6所完成的逻辑是Y= A ⊕B ; 解:通过真值表可以可到该逻辑: 7、74148器件是一个3-8编码器,它采用的编码方式是 优先编码 或 数大优先编码 ; 8、74283器件是一个4位全加器,它的内部逻辑电路与串行加法器不同,采用的是 超前进位 或 先行进位 方法来实现全加逻辑。 9、如果一个与或逻辑电路的函数式为:)C B )(B A (Y / / ++=,该逻辑存在静态冒险,现通过添加冗余项的方式来消除该冒险,则该冗余项为 (A /+C ) ; 10、请写出JK 触发器的特性方程:* Q = JQ /+K /Q ; 11、请写出T 触发器的特性方程:*Q = T ⊕Q 或者TQ /+T /Q ; 12、请写出D 触发器的特性方程:*Q = D ; 13、请写出SR 触发器的特性方程:*Q = S+R /Q ; 14、如果某组合逻辑的输入信号的个数为55个,则需要 6 位的输入编码来实现该逻辑。 解:采用的公式应该是log 255,向上取整 二、选择题(每题1分,共10分) 1、下面有关带符号的二进制运算,描述正确的是,其中X 是被加数,Y 是加数,S 为和: ①. [X]原码+[Y]原码=[S]原码 ②. [X]补码+[Y]补码=[S]补码 ③. [X]反码+[Y]反码=[S]反码 ④. [X]原码+[Y]原码=[S]补码 2、逻辑函数式AC+ABCD+ACD /+A /C= ①. AC ②. C ③. A ④. ABCD 3、请问F=A ⊕B 的对偶式=D F ①. A+B ②. A ⊙B ③. AB ④. AB /+A /B 4、已知门电路的电平参数如下:,,,,V 8.0V V 0.2V V 5.0V V 7.2V max IL min IH max O L min O H ====请问其高电平的噪声容限为: ①.2.2V ②.1.2V ③.0.7V ④.0.3V 5、下面描述方法,对于一个组合逻辑而言,具备唯一性的是: ①.逻辑函数式 ②.真值表 ③.卡诺图 ④.逻辑电路图 6、下面电路中,属于时序逻辑电路的是: ①.移位寄存器 ②.多人表决电路 ③.比较器 ④.码制变换器 7、一个D 触发器的驱动方程为Q X D ⊕=,则其逻辑功能与以下哪种触发器相同: ①. JK 触发器 ②. SR 触发器 ③. D 触发器 ④. T 触发器 8、n 位环形计数器,其计数循环圈中的状态个(模)数为: ①.n 个 ②.2n 个 ③.2n 个 ④.2n -1个 9、n 位扭环计数器,其计数循环圈中的状态个(模)数为: ①.n 个 ②.2n 个 ③.2n 个 ④.2n -1个 10、如果用JK 触发器来实现T 触发器,则JK 触发器的驱动端需要做如下的连接: ①.J=K=0 ②.J=K=T ③.J=T;K=T ’ ④.J=T ’;K=T 三、判断题(每题1分,共10分) 1、CMOS 集成逻辑OD 门,可以用以线与操作;(√ ) 2、三态门的附加控制端输入无效时,其输出也无效;( Х ) 3、三态门的三个状态分别为高电平、低电平和高阻态;(√ ) 4、施密特触发输入的门电路,当输入从高电平变换到低电平,和从低电平变换到高电平,它的输出变化轨迹相 姓名__________________ 专业名称__________________ 班号________________学号__________________教学中心_________________ …………………… …… … … … … …密………………… …… … … … … ……封……………… …… … … …线… … … …… … … …………………… 图1-6

数字逻辑设计及应用 本科3 答案82870

. . 电子科技大学网络教育考卷(C 卷) (20 年至20 学年度第 学期) 考试时间 年 月 日(120分钟) 课程 数字逻辑设计及应用(本科) 教师签名_____ 大题号 一 二 三 四 五 六 七 八 九 十 合 计 得 分 一、填空题(每空1分,共20分) 1、10111012= 135 8= 5D 16= 1110011 格雷码 2、FF 16= 255 10= 001001010101 8421BCD = 010********* 余3码 3、已知某数的反码是1010101,则该数的对应的原码是 1101010 ,补码是 1101011 ; 4、逻辑运算的三种基本运算是 与或非 ; 5、一个逻辑在正逻辑系统下,表达式为B A +,则该逻辑在负逻辑系统下,表达式为 AB ; 6、逻辑式A /(B+CD /)的反演式为 A+B /(C /+D) ; 7、已知∑= )3,1,0(),,(m C B A F ,则∑=m F / ( 2,4,5,6,7 ) M ∏=( 2,4,5,6,7 ) ; 8、请问图1-8逻辑为Y= (AB)/ ; 9、n 选1的数据选择器的地址输入的位数为 log 2n(向上取整) 位,多路输入端得个数为 n 个; 10、如果用一个JK 触发器实现D 触发器的功能,已知D 触发器的输入 信号为D ,则该JK 触发器的驱动为: J=D;K=D / ; 11、如果用一个D 触发器实现T 触发器的功能,已知T 触发器的输入信号为T ,则该D 触发器的驱动为: T ⊕Q ; 12、如果让一个JK 触发器只实现翻转功能,则该触发器的驱动为: J=K=1 ; 13、利用移位寄存器实现顺序序列信号1001110的产生,则该移位寄存器中触发器的个数为: 大于或等于3 个; 二、选择题(每题1分,共10分) 1、以下有关原码、反码和补码的描述正确的是: ①.二进制补码就是原码除符号位外取反加1; ②.补码即是就是反码的基础上再加1; ③.负数的原码、反码和补码相同; ④.正数的原码、反码和补码相同; 2、下列逻辑表达式中,与D BC C A AB F / / / 1++=不等的逻辑是: ①./ / / BC C A AB ++ ②./ ///D BC C A AB ++ ③./ /C A AB + ④.BD C A AB ++/ / 3、已知门电路的电平参数如下:,,,,V 3.0V V 0.3V V 25.0V V 2.3V L I IH OL OH ≤≥≤≥请问其低电平的噪声容限为: ①. 0.05V ②. 0.2V ③. 2.95V ④. 2.7V 4、下列逻辑中,与/ A Y =相同的逻辑是: ①.1A Y ⊕= ②.0A Y ⊕= ③.A A Y ⊕= ④./ )A A (Y ⊕= 5、有如下所示波形图,已知ABC 为输入变量,Y 为输出变量,我们可以得到该逻辑的函数式为: ①.AC AB Y += ②.C B A Y ++= ③.C B A Y ??= ④./ / / C B A Y ++= 6、在同步状态下,下面哪种时序逻辑器件的状态更新仅仅发生在时钟触发沿来临的瞬间,并且状态更新的依据也仅仅取决于当时的输入情况: ①.锁存器 ②.电平触发的触发器 ③.脉冲触发的触发器 ④.边沿触发的触发器器 7、或非门所构成的SR 触发器的输入为S 和R ,则其工作时的约束条件为: ①.1R S =+ ②.0R S =? ③.0R S / / =+ ④.R S = 8、要实现有效状态数为8的扭环计数器,则所需移位寄存器中的触发器个数为: ①.8 ②.4 ③.3 ④.2 9、下面的电路,属于组合逻辑的电路是: ①.串行数据检测器 ②.多路数据选择器 ③.顺序信号发生器 ④.脉冲序列发生器 10、下面哪些器件不能够实现串行序列发生器 ①.计数器和组合门电路 ②.数据选择器和组合门电路 ③.移位寄存器和组合门电路 ④.触发器和组合门电路 姓名__________________ 专业名称__________________ 班号________________学号__________________教学中心_________________ …………………… …… … … … … …密………………… …… … … … … ……封……………… …… … … …线… … … …… … … …………………… 图1-8 图2-5

数字逻辑设计习题参考答案(第4章)

第4章 组合逻辑电路 4—1 分析下图所示电路的逻辑功能,写出输出的逻辑表达式,列出真值表,说明其逻辑功能。 C B)⊙(⊕=A Y 经过真值表分析其逻辑功能为当A 、B 、C 三个输入信号中有且只有两个为1时输出为1,其他为0。 4—2 逻辑电路如下图所示: 1、写出S 、C 、P 、L 的函数表达式; 2、当取S 和C 作为电路的输出时,此电路的逻辑功能是什么? X Z Y S ⊕⊕= YZ X Z Y C +?⊕=)( Z Y P ⊕= Z Y L ?= 当取S 和C 作为电路的输出时,此电路的逻辑功能是1位全加器,其中X 为低位的进位,S 为当前位的和,C 为进位。(由真值表可C 与 YZ X Z Y +?+)(完全一致。) Z B C B A ?C B)⊙(?A Z ) (Z Y X ⊕?Z Y X ⊕?)(Z Y X ⊕?Z Y ?1234

4—3 下图是由三个全加器构成的电路,试写出其输出1F ,2F ,3F ,4F 的表达式。 Z Y X F ⊕⊕=1 Z Y X F ?⊕=)(2 Z XY Z XY F +?=3 XYZ F =4 4—4 下图是由3线/8线译码器74LS138和与非门构成的电路,试写出1P 和 2P 的表达式,列出真值表,说明其逻辑功能。 ABC C B A m m m m Y Y P +??=+=?=?=7070701 6543216543212m m m m m m Y Y Y Y Y Y P +++++=??+??= C B C A B A ++= P1的逻辑功能为当三个输入信号完全一致时输出为1。 P2的逻辑功能为当上输入信号不完全一致时输出为1。 4—5使用74LS138 译码器及少量门电路对三台设备状态进行监控,由不同指示灯进行指示。当设备正常工作时,指示灯绿灯亮;当有一台设备出故障时,指示灯红灯亮;当有两台设备出故障时,指示灯黄灯亮;当有三台设备出故障时,指示灯红灯和黄灯都亮。 1234

数字逻辑设计及应用本科 答案

电子科技大学网络教育考卷(B 卷) (20 年至20 学年度第 学期) 考试时间 年 月 日(120分钟) 课程 数字逻辑设计及实践(本科) 教师签名_____ 大题号 一 二 三 四 五 六 七 八 九 十 合 计 得 分 一、填空题(每空1分,共20分) 1、请完成如下的进制转换:10110.112= 26.6 8= 22.75 10= 16.C 16; 2、28.510= 11100.1 2= 34.4 8= 1C.8 16= 00101000.0101 8421BCD 3、某带符号的二进制数的反码是1010101,则该数对应的原码是 1101010 ,补码是 1101011 ; 4、A ⊕B= AB /+A /B ;(A ⊕B)/= AB+A /B / ; 5、正逻辑和负逻辑之间的关系是 对偶 ; 6、请问图1-6的逻辑为:Y= A / ; 7、已知某集成门电路输出和输入的高电平的最小值分别为min IH min OH V V 、;输出和输入的低电平最大值分别为:max IL max OL V V 、;请问该门电路高电平的直流噪声容限NH V = min OH min IH V V - ;低电平的直流噪声容限NL V = max IL max OL V V - ; 8、某状态机的状态数为129,请问至少需要 8 位编码才能完成; 9、如果要从多路输入数据中,选出一路作为输出,应采用 数字选择 器来实现; 10、如果要比较两个二进制数的大小,应采用 比较器 器来实现; 11、如果待实现的时序状态机中存在状态循环圈,应采用 计数器 器来实现; 12、同时具备置0、置1、保持和反转的触发器是 JK 触发器; 二、选择题(每题1分,共10分) 1、 将十进制运算(-125-3)转换成带符号的8位(包括符号位)二进制补码运算,其结果为: ①. 00000000 ②. ③.④. 2、请问下列逻辑中,与(A ·B)/相同的逻辑是 ; ①. A /+B / ②. A+B ③. A ·B ④. A /·B / 3、已知逻辑F(ABC)=Σm (1,3,5,7),则下面的描述为正确的是: ①. F(ABC)=ПM (0,2,4,6) ②. F=C ③. F D =Σm (0,2,4,6) ④. F=A+B 4、要实现8选1的数据选择器,则地址输入(选择输入)和多路数据端得个数分别为: ①. 8、3 ②. 3 、8 ③. 8、8 ④. 3、3 5、如果实现5-32的译码器电路,需要 个74138(3-8译码器)来实现: ①. 2 ②. 3 ③. 4 ④. 8 6、要实现256进制(模为256)的二进制计数器,需要 个74163(4位二进制加计数器)来实现 ①. 2 ②. 3 ③. 8 ④. 16 7、要实现有效状态数为8的环形计数器,则所需移位寄存器中的触发器个数为: ①. 8 ②. 4 ③. 3 ④. 2 8、如果用触发器和门电路来实现12进制的计数器,则至少需要 个触发器: ①. 2个 ②. 3个 ③. 4个 ④. 5个 9、一个JK 触发器的驱动方程为X K J ==,则其逻辑功能与以下哪种触发器相同: ①. JK 触发器 ②. SR 触发器 ③. D 触发器 ④. T 触发器 10、下面关于移位寄存器型计数器的反馈函数的描述不正确的是: ①. 反馈函数输入输出到移位寄存器的串行输入端 ②. 反馈函数是现态的函数 ③. 反馈函数中可以有存储单元 ④. 反馈函数是个组合逻辑单元 三、判断题(每题1分,共10分) 1、存储单元是时序状态机不可缺少的组成部分;( √ ) 2、7485为4位二进制比较器。如果二进制数A=B ,则其输出必将是Y (A=B )有效;(Х ) 3、所有类型的触发器其状态更新都发生在时钟触发沿上;(Х ) 4、米利型时序逻辑的输出仅仅取决于当前现态的值;(Х ) 5、穆尔型时序逻辑的输出仅仅取决于当前现态的值;( √ ) 6、异步时序逻辑电路中各个触发器所用的时钟触发沿不完全相同;( √ ) 7、如果两个时序逻辑的状态转换关系以及所选择的触发器都相同,则其逻辑图也相同;( Х) 8、时序逻辑可以没有输出,但是组合逻辑必须有输出;( √ ) 9、要实现模为100的计数器(有效计数循环圈的状态数为100),则需要10片74160(十进制计数器)来实现;( Х) 10、环形计数器的有效状态个数,与其位数相同;( √ ) 四、卡诺图化简(8分) 请将逻辑F(A,B,C,D)=?m (1,2,3,5,7)+d(10,11,12,13,14,15)化简成最简与或式; F=A /D+A /B /C 五、组合逻辑分析,要求如下:(8分) 请分析图5所示逻辑的逻辑功能。并画出其真值表; 解:该题目为一加法器电路,完成的加法为: Y 3Y 2Y 1Y 0=DCBA+0011, 故真值表如下: D C B A Y 3 Y 2 Y 1 Y 0 0 0 0 0 0 0 1 1 0 1 1 姓名__________________ 专业名称__________________ 班号________________学号__________________教学中心_________________ …………………… …… … … … … …密………………… …… … … … … ……封……………… …… … … …线… … … …… … … …………………… 图1-6 图5

《数字逻辑》(第二版)习题答案 第一章

第一章 1. 什么是模拟信号?什么是数字信号?试举出实例。 解答 模拟信号-----指在时间上和数值上均作连续变化的信号。例如,温度、压 力、交流电压等信号。 数字信号-----指信号的变化在时间上和数值上都是断续的,阶跃式的,或者说是离散的,这类信号有时又称为离散信号。例如,在数 字系统中的脉冲信号、开关状态等。 2. 数字逻辑电路具有哪些主要特点? 解答 数字逻辑电路具有如下主要特点: ●电路的基本工作信号是二值信号。 ●电路中的半导体器件一般都工作在开、关状态。 ●电路结构简单、功耗低、便于集成制造和系列化生产。产品价格低 廉、使用方便、通用性好。 ●由数字逻辑电路构成的数字系统工作速度快、精度高、功能强、可 靠性好。 3. 数字逻辑电路按功能可分为哪两种类型?主要区别是什么? 解答 根据数字逻辑电路有无记忆功能,可分为组合逻辑电路和时序逻辑电路两类。 组合逻辑电路:电路在任意时刻产生的稳定输出值仅取决于该时刻电路 输入值的组合,而与电路过去的输入值无关。组合逻辑 电路又可根据输出端个数的多少进一步分为单输出和 多输出组合逻辑电路。 时序逻辑电路:电路在任意时刻产生的稳定输出值不仅与该时刻电路的输 入值有关,而且与电路过去的输入值有关。时序逻辑电 路又可根据电路中有无统一的定时信号进一步分为同 步时序逻辑电路和异步时序逻辑电路。 4. 最简电路是否一定最佳?为什么?

解答 一个最简的方案并不等于一个最佳的方案。最佳方案应满足全面的性能指标和实际应用要求。所以,在求出一个实现预定功能的最简电路之后,往往要根据实际情况进行相应调整。 5. 把下列不同进制数写成按权展开形式。 (1) (4517.239)10 (3) (325.744)8 (2) (10110.0101)2 (4) (785.4AF)16 解答 (1)(4517.239)10 = 4×103+5×102+1×101+7×100+2×10-1 +3×10-2+9×10-3 (2)(10110.0101)2 = 1×24+1×22+1×21+1×2-2+1×2-4 (3)(325.744)8 = 3×82+2×81+5×80+7×8-1+4×8-2+4×8-3 (4) (785.4AF)16 = 7×162+8×161+5×160+4×16-1+10×16-2 +15×16-3 6.将下列二进制数转换成十进制数、八进制数和十六进制数。 (1)1110101 (2) 0.110101 (3) 10111.01 解答 (1)(1110101)2= 1×26+1×25+1×24+1×22+1×20

数字逻辑(第二版)毛法尧课后题答案(1-6章)

习题一 1.1 把下列不同进制数写成按权展开式: ⑴(4517.239)10= 4×103+5×102+1×101+7×100+2×10-1+3×10-2+9×10-3 ⑵(10110.0101)2=1×24+0×23+1×22+1×21+0×20+0×2-1+1×2-2+0×2-3+1×2-4 ⑶(325.744)8=3×82+2×81+5×80+7×8-1+4×8-2+4×8-3 ⑷(785.4AF)16=7×162+8×161+5×160+4×16-1+A×16-2+F×16-3 1.2 完成下列二进制表达式的运算: 1.3 将下列二进制数转换成十进制数、八进制数和十六进制数: ⑴(1110101)2=(165)8=(75)16=7×16+5=(117)10 ⑵(0.110101)2=(0.65)8=(0.D4)16=13×16-1+4×16-2=(0.828125)10 ⑶(10111.01)2=(27.2)8=(17.4)16=1×16+7+4×16-1=(23.25)10 1.4 将下列十进制数转换成二进制数、八进制数和十六进制数,精确到小数点后5位: ⑴(29)10=(1D)16=(11101)2=(35)8 ⑵(0.207)10=(0.34FDF)16=(0.001101)2=(0.15176)8 ⑶(33.333)10=(21.553F7)16=(100001.010101)2=(41.25237)8

1.5 如何判断一个二进制正整数B=b6b5b4b3b2b1b0能否被(4)10整除? 解: 一个二进制正整数被(2)10除时,小数点向左移动一位, 被(4)10除时,小数点向左移动两位,能被整除时,应无余数,故当b1=0和b0=0时, 二进制正整数B=b6b5b4b3b2b1b0能否被(4)10整除. 1.6 写出下列各数的原码、反码和补码: ⑴0.1011 [0.1011]原=0.1011; [0.1011]反=0.1011; [0.1011]补=0.1011 ⑵0.0000 [0.000]原=0.0000; [0.0000]反=0.0000; [0.0000]补=0.0000 ⑶-10110 [-10110]原=110110; [-10110]反=101001; [-10110]补=101010 1.7 已知[N]补=1.0110,求[N]原,[N]反和N. 解:由[N]补=1.0110得: [N]反=[N]补-1=1.0101, [N]原=1.1010,N=-0.1010 1.8 用原码、反码和补码完成如下运算: ⑴0000101-0011010 [0000101-0011010]原=10010101; ∴0000101-0011010=-0010101。 [0000101-0011010]反=[0000101]反+[-0011010]反=00000101+11100101=11101010 ∴0000101-0011010=-0010101 [0000101-0011010]补=[0000101]补+[-0011010]补=00000101+11100110=11101011 ∴0000101-0011010=-0010101 ⑵0.010110-0.100110 [0.010110-0.100110]原=1.010000; ∴0.010110-0.100110=-0.010000。 [0.010110-0.100110]反=[0.010110]反+[-0.100110]反=0.010110+1.011001=1.101111

数字逻辑第二版(毛法尧)习题答案

数字逻辑课后习题答案 习题一 1.1 把下列不同进制数写成按权展开式: ⑴(4517.239)10= 4×103+5×102+1×101+7×100+2×10-1+3×10-2+9×10-3 ⑵(10110.0101)2=1×24+0×23+1×22+1×21+0×20+0×2-1+1×2-2+0×2-3+1×2-4 ⑶(325.744)8=3×82+2×81+5×80+7×8-1+4×8-2+4×8-3 ⑷(785.4AF)16=7×162+8×161+5×160+4×16-1+A×16-2+F×16-3 1.2 完成下列二进制表达式的运算: 1.3 将下列二进制数转换成十进制数、八进制数和十六进制数: ⑴(1110101)2=(165)8=(75)16=7×16+5=(117)10 ⑵(0.110101)2=(0.65)8=(0.D4)16=13×16-1+4×16-2=(0.828125)10 ⑶(10111.01)2=(27.2)8=(17.4)16=1×16+7+4×16-1=(23.25)10 1.4 将下列十进制数转换成二进制数、八进制数和十六进制数,精确到小数点后5位: ⑴(29)10=(1D)16=(11101)2=(35)8 ⑵(0.207)10=(0.34FDF)16=(0.001101)2=(0.15176)8 ⑶(33.333)10=(21.553F7)16=(100001.010101)2=(41.25237)8

1.5 如何判断一个二进制正整数B=b6b5b4b3b2b1b0能否被(4)10整除? 解: 一个二进制正整数被(2)10除时,小数点向左移动一位, 被(4)10除时,小数点向左移动两位,能被整除时,应无余数,故当b1=0和b0=0时, 二进制正整数B=b6b5b4b3b2b1b0能否被(4)10整除. 1.6 写出下列各数的原码、反码和补码: ⑴0.1011 [0.1011]原=0.1011; [0.1011]反=0.1011; [0.1011]补=0.1011 ⑵0.0000 [0.000]原=0.0000; [0.0000]反=0.0000; [0.0000]补=0.0000 ⑶-10110 [-10110]原=110110; [-10110]反=101001; [-10110]补=101010 1.7 已知[N]补=1.0110,求[N]原,[N]反和N. 解:由[N]补=1.0110得: [N]反=[N]补-1=1.0101, [N]原=1.1010,N=-0.1010 1.8 用原码、反码和补码完成如下运算: ⑴0000101-0011010 [0000101-0011010]原=10010101; ∴0000101-0011010=-0010101。 [0000101-0011010]反=[0000101]反+[-0011010]反=00000101+11100101=11101010 ∴0000101-0011010=-0010101 [0000101-0011010]补=[0000101]补+[-0011010]补=00000101+11100110=11101011 ∴0000101-0011010=-0010101 ⑵0.010110-0.100110 [0.010110-0.100110]原=1.010000;

数字逻辑设计及应用 本科3 答案Word版

整理为word 格式 电子科技大学网络教育考卷(C 卷) (20 年至20 学年度第 学期) 考试时间 年 月 日(120分钟) 课程 数字逻辑设计及应用(本科) 教师签名_____ 大题号 一 二 三 四 五 六 七 八 九 十 合 计 得 分 一、填空题(每空1分,共20分) 1、10111012= 135 8= 5D 16= 1110011 格雷码 2、FF 16= 255 10= 001001010101 8421BCD = 010********* 余3码 3、已知某数的反码是1010101,则该数的对应的原码是 1101010 ,补码是 1101011 ; 4、逻辑运算的三种基本运算是 与或非 ; 5、一个逻辑在正逻辑系统下,表达式为B A +,则该逻辑在负逻辑系统下,表达式为 AB ; 6、逻辑式A /(B+CD /)的反演式为 A+B /(C / +D) ; 7 、 已 知 ∑=) 3,1,0(),,(m C B A F ,则 ∑=m F /( 2,4,5,6,7 )M ∏=( 2,4,5,6,7 ); 8、请问图1-8逻辑为Y= (AB)/ ; 9、n 选1的数据选择器的地址输入的位数为 log 2n(向上取整) 位,多路输入端得个数为 n 个; 10、如果用一个JK 触发器实现D 触发器的功能,已知D 触发器的输入信 号为D ,则该JK 触发器的驱动为: J=D;K=D / ; 11、如果用一个D 触发器实现T 触发器的功能,已知T 触发器的输入信号为T ,则该D 触发器的驱动为: T ⊕Q ; 12、如果让一个JK 触发器只实现翻转功能,则该触发器的驱动为: J=K=1 ; 13、利用移位寄存器实现顺序序列信号1001110的产生,则该移位寄存器中触发器的个数为: 大于或等于3 个; 二、选择题(每题1分,共10分) 1、以下有关原码、反码和补码的描述正确的是: ①.二进制补码就是原码除符号位外取反加1; ②.补码即是就是反码的基础上再加1; ③.负数的原码、反码和补码相同; ④.正数的原码、反码和补码相同; 2、下列逻辑表达式中,与D BC C A AB F / / / 1++=不等的逻辑是: ①./ / / BC C A AB ++ ②./ / / / D BC C A AB ++ ③./ /C A AB + ④.BD C A AB ++/ / 3、已知门电路的电平参数如下:,,,,V 3.0V V 0.3V V 25.0V V 2.3V L I IH OL OH ≤≥≤≥请问其低电平的噪声容限为: ①. 0.05V ②. 0.2V ③. 2.95V ④. 2.7V 4、下列逻辑中,与/A Y =相同的逻辑是: ①.1A Y ⊕= ②.0A Y ⊕= ③.A A Y ⊕= ④./ )A A (Y ⊕= 5、有如下所示波形图,已知ABC 为输入变量,Y 为输出变量,我们可以得到该逻辑的函数式为: ①.AC AB Y += ②.C B A Y ++= ③.C B A Y ??= ④./ / / C B A Y ++= 6、在同步状态下,下面哪种时序逻辑器件的状态更新仅仅发生在时钟触发沿来临的瞬间,并且状态更新的依据也仅仅取决于当时的输入情况: ①.锁存器 ②.电平触发的触发器 ③.脉冲触发的触发器 ④.边沿触发的触发器器 7、或非门所构成的SR 触发器的输入为S 和R ,则其工作时的约束条件为: ①.1R S =+ ②.0R S =? ③.0R S / / =+ ④.R S = 8、要实现有效状态数为8的扭环计数器,则所需移位寄存器中的触发器个数为: ①.8 ②.4 ③.3 ④.2 9、下面的电路,属于组合逻辑的电路是: ①.串行数据检测器 ②.多路数据选择器 ③.顺序信号发生器 ④.脉冲序列发生器 10、欲将幅度适宜的波形变换为同频率的矩形脉冲电压波形,应采用 ①.计数器 ②.施密特触发器 ③.JK 触发器 ④.数据选择器 三、判断题(每题1分,共10分) 1、如果逻辑AB=AC ,则B=C ;( Х) 2、如果逻辑A+B=A+C ,则B=C ;(Х ) 姓名__________________ 专业名称__________________ 班号________________学号__________________教学中心_________________ …………………… …… … … … … …密………………… …… … … … … ……封……………… …… … … …线… … … …… … … …………………… 图1-8 图2-5

数字逻辑设计基础 何建新 高胜东 主编第3章 逻辑代数基础习题答案

第3章 逻辑代数基础 3.3用逻辑代数的基本公式和定律将下列逻辑函数式化简为最简与-或表达式。 (3) AC +AD +BC +BD +BC E =+AD +BC +BD =+D +BC =+D +BC Y A B A B A B A B A B =+() (7) ()()Y A B C D AC D AC A D =++++ ()C D A B A AC D C D AC D C D C D +++=+==+ = 3.5根据反演规则求出下列逻辑函数的反函数。 (2) Y A B CD CD AB =++++ 解:()+()Y A B C D C D A B =++ (4) AB+A B A B AB Y AB =?++() 解:[A +B (A+B)+(A +B A+B ()Y A B =???+())()] 3.6 根据对偶规则,求出下列逻辑函数的对偶式。 (1) C A D B C A Y ++=)( 解:'[()][]Y A C BD A C =++?+ (4) AC B A B A B A Y ++?+= 解:'[()()]()Y AB A B A B A C =++?+?+ [题3-7] 将下列逻辑函数表示成“最小项之和”形式及“最大项之积”形式 (1)(,,)(1,3,6,7)(0,2,4,5) F A B C AB AC BC m M =++==∑∏ [题3-8] 用卡诺图化简将下列逻辑函数为最简与或表示式: (3)D C B A D C B BD AD B A Y ++++=

由逻辑函数式作卡诺图,得最简与-或表达式 Y B C B D A B =++ (8)∑∑+=)151413320()12119861()(,,,,,,,,,,,,,d m D C B A F 解: Y AC BD BC D =++ (10)???=++++=0AC BCD D C C B A D C A CD B A Y 解:(,,,)Y A B C D D A B =+

数字逻辑设计 8章作业答案

第八章作业答案: 8.13 图X8—13所示的电路的计数顺序是什么? 解:进位输出RCO与LD连接,当进位输出信号有效时进行置数。计数顺序UP/DN与Q3连接,Q3为低时降序计数,Q3为高时升序计数,置数端A,B,C,D分别与QA,QB,QC,QD’连接。 当降序计数时,RCO在0000状态有效;升序计数时RCO在1111状态有效。 设初始状态为0000,此时为降序计数,RCO有效,置数端数据为1000;下一状态为1000,Q3=1,升序计数,RCO无效,继续升序计数。状态转换:0000→1000→1001→………→.1111,此时RCO有效,置数端数据为0111,下一状态变为0111,Q3=0降序计数,因为RCO无效,继续降序计数。状态转换为0111→0110→………. →0000 完成一次循环。 总的计数顺序为:0,8,9,10,11,12,13,14,15,7,6,5,4,3,2,1,0,… 8.14 一个计数器74X163,输入信号ENP、ENT、D端总是为高电平,输入端A、B和C总是为低电平,输入信号LD_L=(QA·QC)’,而输入信号CLR_L=(QB·QD)’。输入信号CLK与一个自由运行的时钟信号相连。画出这个电路的逻辑图:假设计数器的起始状态为0000,写出接下来15个时钟触发沿QD QC OB QA的输出序列。 解:置数端DCBA=1000,LD_L=(QA?QC)’ ,即当计数至0101时,置数1000;CLR_L=(QB?QD)’,即当计数至1010时,将做清零操作。QDQCQBQA的变化情况: 0000→0001→0010→0011→0100→0101→1000→1001→1010→0000→0001→0010→00 11→0100→0101→1000→… 8.27只用4个D触发器.不用其他部件,设计一个4位行波降序计数器。 8.35 采用4位二进制计数器74x163设计一个模11计数器电路,要求计数序列为4,5,…,

2014《数字逻辑设计》期末考试-试题及参考解答

………密………封………线………以………内………答………题………无………效…… 电子科技大学2013 -2014学年第 二 学期期 末 考试 A 卷 课程名称:_数字逻辑设计及应用__ 考试形式: 闭卷 考试日期: 20 14 年 07 月 10 日 考试时长:_120___分钟 课程成绩构成:平时 30 %, 期中 30 %, 实验 0 %, 期末 40 % 本试卷试题由___六__部分构成,共__8___页。 题号 一 二 三 四 五 六 七 八 九 十 合计得分 I. Fill out your answers in the blanks (3’ X 10=30’) 1. A circuit with 10 flip-flops can store ( 10 ) bit binary numbers, that is, include ( 1024 或 210 ) states at most. 2. A 5-bit linear feedback shift-register (LFSR) counter with no self-correction can have ( 31 或 25-1 ) normal states. 3. A modulo-24 counter circuit needs ( 5 ) D filp-flops at least. A modulo-500 counter circuit needs ( 3 ) 4-bit counters of 74x163 at least. 4. If an 74x148 priority encoder has its 1, 3, 4, and 5 inputs at the active level, the active LOW binary output is ( 010 ) . 5. State/output table for a sequential circuit is shown as Table 1. X is input and Z n is output. Assume that the initial state is S 0, if the input sequence is X = 01110101, the output sequence should be ( 11001100 或110011000 ). 【可以确定的输出序列应该有9位】 . 3 ) up/down counter. n+1n 21

相关主题
文本预览
相关文档 最新文档