当前位置:文档之家› 基于FPGA的图像采集系统设计与实现

基于FPGA的图像采集系统设计与实现

基于FPGA的图像采集系统设计与实现
基于FPGA的图像采集系统设计与实现

基于FPGA的图像采集系统设计与实现

摘要

现在是科技迅速发展的年代,数字图像处理技术在这个年代中得到了迅猛的发展并在各行各业得到了广泛的应用。可编程逻辑器件(FPGA)凭借其较低的开发成本、较高的并行处理速度、较大的灵活性及其较短的开发周期等特点,在图像处理系统中有独特的优势。

针对视频图像采集的可靠性和实时性,本设计采用Altera公司生产的CycloneⅡEP2C8Q208C8NK芯片,先由CCD图像传感器采集模拟信号,在经过芯片TVP5150将模拟量转换为标准的YUV4:2:2的数据信号,把数据信号输入到FPGA芯片中并在其中将该数据转换到RGB色域后在输出到ADV7123芯片进行D/A转换,最后经过VGA接口电路把处理过的图像呈现在显示屏上。经过相关的调试工作,系统通用性比较好,并且移动性能也不错。

该系统的软件部分采用自顶向下的设计方法,模块化设计思想,硬件语言编程,只修改源程序,不必更改硬件电路,就可实现在线编程,实时控制,从而有效地减少系统的体积,不但增加了系统可靠性,降低研制成本,并且能够对控制逻辑进行修改升级,十分灵活。该系统包括三个功能模块:图像采集模块、图像处理模块、图像显示模块。

关键词:FPGA;TVP5150;RGB色域;ADV7123芯片

Image acquisition system based on FPGA design and

implementation

Abstract

It is the rapid development of science and technology, digital image processing technology has been rapid development in this era and has been widely used in all walks of life, and the maturity of FPGA technology has changed the commonly used parallel computer or digital signal processor (DSP), a special integrated circuit (ASIC) as the embedded processor usage. Programmable logic device (FPGA) with its low cost, high parallel processing speed, flexibility and short development cycle and other characteristics, has its unique advantages in image processing system. The project demand, this paper presents a solution of image acquisition and processing system based on FPGA, and the use of low cost and high performance of Altera company's CyclonelI series FPGA EP2C8Q208C8N as the core, design and development of integrated system of hardware and software of image acquisition and processing.

In view of the reliability of video image acquisition and real-time performance, this paper describes how to FPGA for video acquisition system control. Using Cyclone Ⅱ EP2C8Q208C8NK chip produced by Altera company, is responsible for receiving and processing video data from the TVP5150 decoding, converts the data into RGB color gamut in the output to the ADV7123 chip. After debugging, the system better generality, and mobile performance is also good.

Keywords:FPGA;TVP5150;RGB color gamut;ADV7123 chip

目录

1 前言 (1)

1.1课题背景与意义 (1)

1.2研究的现状及特点 (2)

1.3研究的主要内容 (2)

2 图像采集系统的硬件电路设计 (3)

2.1采集系统硬件的总体设计 (3)

2.2FPGA核心模块 (5)

2.3外围电路 (6)

2.4图像采集电路 (7)

2.4.1图像传感器 (7)

2.4.2A/D转换电路 (8)

2.5图像显示电路 (8)

3 图像采集系统的软件设计 (11)

3.1系统的设计环境 (11)

3.2设计的主要流程 (12)

3.3设计内部数据量的变换 (12)

3.3.1IIC数据总线 (12)

4 调试 (17)

参考文献 (18)

致谢 (19)

附录 (20)

1 前言

1.1 课题背景与意义

随着多媒体技术在各个应用领域不断普及,用户会不断要求新产品具有更大的图像容量、更高的图像质量和更快的图像处理速度,这为图像的存储和处理提出了更高的要求。在数字图像处理可视电话通信、数字电视等应用中,遇到的首要难题就是数据量过大,导致图像传输和存储成问题。现场可编程门阵列(FPGA),与传统逻辑电路和门阵列相比FPGA 具有不同的结构它增强了电路设计的灵活性。不但降低了开发成本,而且也减小了设计风险,并且充分挖掘图像处理算法中的并行性,在较低主频下能获得可观的执行速度。因此,在信号处理方面得到了广泛的应用。

FPGA作为系统以及图像采集处理板卡的核心器件,外围器件的电路和功能已经得到尽可能的简化,许多外围的功能器件的接口都不需要单独的接口芯片来完成,均由FPGA

来实现.整个系统中仅仅需要FPGA以及FPGA配置芯片、SDRAM、PCI接口芯片三个主要的芯片,整体上看提高了电路的稳定性,而且其先进的开发工具使整个系统的设计调试周期大大缩短。因此FPGA内部逻辑设计就成为图像采集处理系统设计的核心和关键。

1.2 研究的现状及特点

20世纪20年代,图像处理首次得到应用。20世纪60年代中期,随电子计算机的发展得到普遍应用。60年代末,图像处理技术不断完善,逐渐成为一个新兴的学科。利用数字图像处理主要是为了修改图形,改善图像质量,或是从图像中提起有效信息,还有利用数字图像处理可以对图像进行体积压缩,便于传输和保存。数字图像处理主要研究以下内容:傅立叶变换、小波变换等各种图像变换;对图像进行编码和压缩;采用各种方法对图像进行复原和增强;对图像进行分割、描述和识别等。随着技术的发展,数字图像处理主要应用于通讯技术、宇宙探索遥感技术和生物工程等领域。数字图像处理因易于实现非线性处理,处理程序和处理参数可变,故是一项通用性强、精度高、处理方法灵活、信息保存、传送可靠的图像处理技术。主要用于图像变换、量测、模式识别、模拟以及图像产生,并广泛应用在遥感、宇宙观测、影像医学、通信、刑侦及多种工业领域[1]。

而在对图像处理这一技术上FPGA具有以下几种特点:

(1):高性能,可以在一片FPGA中完成多种处理功能

(2):灵活性高使产品快速面市,方便产品跟新,满足不断发展的需求

(3):低开发成本并且结构化容易操作

(4):不会过时,其拥有非常广泛的客户基础,而且FPGA可以很容易的从一个工作节点移植到下一个工作节点。

1.3 研究的主要内容

先利用CCD图像传感器采集图像模拟量输入给TVP5150芯片,再利用Altera公司的CyclonelI系列FPGA EP2C8Q208C8N芯片,负责接受和处理来自TVP5150解码后的视频数据,将该数据转换到RGB色域后在输出到ADV7123芯片再传输到液晶显示器。在系统设计过程中需要研究以下问题:

(1):制定图像采集系统的整体的设计方案.。

(2):设计图像采集模块,图像处理模块,图像显示模块,和FPGA主控制模块。

(3):程序的编译与仿真,通过对程序的编译与仿真实现了对FPGA芯片控制,将数据转换到RGB色域后输出。

系统设计过程中主要通过Quartus9.1的开发环境编译系统的程序,然后使用下载软件将程序下载到单片机运行,通过运行情况修改程序再进行调试。调试的是从单个模块开始,然后进行综合调试至系统功能的实现。

2 图像采集系统的硬件电路设计

2.1 采集系统硬件的总体设计

如图2-1所示:该系统包括几个功能模块:图像采集模块、图像处理模块、图像显示模块。其中图像采集模块主要由外接摄像头和TVP5150 解码芯片组成,完成视频信号的采集和硬件解码能,并以ITU-656 标准输出YUV 4:2:2 信号;图像处理模块选用的FPGA 是Altera 公司生产的Cyclone ⅡEP2C8Q208C8N 芯片构成,完成系统控制,视频信号的采集以及后续处理等工作;图像显示模块主要由ADV7123 芯片、VGA 接口和CRT 显示器等组成,主要完成视频信号的数模转换,输出并显示视频信号。

图2-1 图像采集系统的硬件总体设计

在图2-1所示视频图像的采集与处理过程中,FPGA作为整个系统的控制与处理核心,主要是通过该芯片的I/O 管脚来控制数据采集芯片TVP5150和高速D/A 转换芯片ADV7123,并且负责接受和处理来自TVP5150解码后的视频数据,将该数据转换到RGB 色域后输出到ADV7123芯片。实物如图2-2所示:

图2-2 硬件实物

2.2 FPGA核心模块

在充分考虑性价比和设计功能的基础上,决定采用Altera公司CycloneII系列的FPGA,它是Altera公司最新一代SRAMI艺、中等规模的低成本FPGA,与Stratix结构类似,是目前的主流产品。它支持各种单端I/O标准(如LVlvrL、LVCOS、和SSTL.2/3),通过LVDS和RSDS 标准提供多达227个通道的差分I/O支持,LVDS通道高达640Mbps。它具有生成时钟锁相环以及DDR、SDR和快速RAM(FCRAM)存储器所需的专用双数据率(DDR)接口等。它完全支持Altera公司的NiosII处理器,支持通过SOPC(可编程片上系统)软件对这个系列的芯片进行

专门优化,使其性能得到进一步提高。其芯片如图2-3所示:

图2-3 核心芯片引脚图

2.3 外围电路

外围电路包括多种电路模块例如电源电路模块,晶振电路模块,复位电路模块等等。在众多电路模块中最重要的是电源模块,电源模块是整个系统的基础,它为其它各个硬件系统模块提供其所需的工作电源。而且由于系统采用的芯片较多,需要电源模块提供多种不同的电源信号,例如为数字电路芯片提供数字电压,而对模拟电力模块提供模拟电压,根据此要求电源模块的设计就需要能够输出多种不同标准的电压。

所以采用AC/DC电源模块,把交流220V转换成5V直流电再采用转换电路,转变成系统需要的电源,如数字电压1.2V、1.5V、2.5V、3.3V等,模拟电压2.5V。其电源转换电路如图2-4所示:

图2-4 电源电路图

2.4 图像采集电路

2.4.1 图像传感器

目前来讲图像传感器可分为模拟视频摄像头和数字视频摄像头、彩色摄像头和黑白摄像头、CMOS图像传感器和CCD图像传感器。其中CCD图像传感器以及CMOS图像传感器是当今常用的两种模拟/数字图像传感器。

在CCD与CMOS进行对比基本上两者都是利用矽感光二极体(photodiode)进行光与电的转换。光线越强、电力越强;反之,光线越弱、电力也越弱的道理,将光影像转换为电子数字信号。由于构造上的基本差异,我们可以表列出两者在性能上的表现之不同。CCD 的特色在于充分保持信号在传输时不失真(专属通道设计),透过每一个像素集合至单一放大器上再做统一处理,可以保持资料的完整性;CMOS的制程较简单,没有专属通道的设计,因此必须先行放大再整合各个像素的资料。因此在本次实验中决定采用CCD图像传感器。如图2-5所示:

图2-5 CCD图像传感器

2.4.2 A/D转换电路

本设计采用的A/D转换芯片是TVP5150芯片。此芯片采用四面32脚封装。TVP5150是超低功耗,支持NTSC/PAL/SECAM等高格式的高性能视频解码器,它正常工作时,功耗仅115MW。它可以接受两路CVBS或一路S-VIDEO信号,通过IIC总线设置其内部寄存器,可以输出8位4:2:2的ITUBT.656信号。

TVP51510芯片采用14.13818MHZ晶振作为输入时钟,数字和模拟量均为1.8V,IO口电压为3.3V;信号输入有IP1A和IP1B两路,并且都进行阻抗匹配设计,防止对输入量信号的反射;YOUT【0:7】输出8路YCbCr信号,行场同步信号选择引脚HSYNC和VSYNC输出;SCLK引

脚向DM644芯片输出27MHZ时钟信号,用来同步采集。其电路如图2-6所示:

图2-6 A/D转换电路

2.5 图像显示电路

图像显示电路包括了D/A转换电路和VGA接口电路。

本实验采用的D/A转换芯片是ADV7123芯片。ADV7123是三路高速、10位输入的视频DA 转换器,具有330MHz的最大采样速度,与多种高精度的显示系统兼容,包括RS2343A和RS2 170,可以广泛应用于如HDTV、数字视频系统(1600×1200@100Hz)、高分辨率的彩色图片图像处理、视频信号再现等,因此能够满足我们多方面应用需求。

VGA接口是一种D型接口,上面共有15针孔,分成三排,每排五个。其中,除了2根NC (Not Connect)信号、3根显示数据总线和5个GND信号,比较重要的是3根RGB彩色分量信号和2根扫描同步信号HSYNC和VSYNC针。VGA接口中彩色分量采用RS343电平标准。

其总体电路如图2-7所示:

图2-7 D/A转换电路

3图像采集系统的软件设计

3.1 系统的设计环境

本次实验采用的是Quartus II9.1软件提供的多平台设计环境。Altera公司的Quartus II软件提供了可编程片上系统设计的一个综合开发环境,是进行EDA设计的基础工具。Quartus II集成开发环境包括以下内容:系统级设计,嵌入式软件开发,可编程逻辑器件(PLD)设计,综合,布局和布线,验证和仿真。Quartus II设计软件根据设计者需要提供了一个完整的多平台开发环境,它包含整个FPGA和CPLD设计阶段的解决方案。与以往的EDA 工具相比,它更适合于设计团队基于模块的层次化设计方法。Quartus II软件的典型设计流程一般可分为设计输入、设计实现和器件编程三个设计步骤及相应的功能仿真、时序仿真和器件测试三个设计验证过程:

设计输入:设计输入有多种方式,目前最常用的有电路图和硬件描述语言两种。对于简单的设计,可采用原理图或ABEL语言设计。对于复杂的设计,可使用原理图或硬件描述语言(如VHDL,Verilog语言等),或两者混用,采用层次化设计方法,分模块、分层次地进行描述。设计输入软件在设计输入时会检查语法错误,产生网表文件,供设计实现和设计校验用。

设计实现:设计实现是指从设计输入文件到位流文件的编译过程。在该过程中,编译软件自动地对设计文件进行综合、优化并针对所选中的器件进行映射、布局和布线,产生相应的位流数据文件。

器件编程:器件的编程也称为器件的配置,就是将位流数据文件配置到相应的FPGA器件中。FPGA器件的配置方式分为两大类;主动配置方式和被动配置方式。主动配置方式是由GAL器件引导配置操作过程,它控制着外部存储器和初始化过程;而被动配置是由外部机或控制器控制配置过程。

设计校验:对应于功能仿真、时序仿真、器件测试组成的设计验证过程。功能仿真验证设计的逻辑功能。在设计输入过程中,对部分功能或整个设计均可进行功能仿真。时序仿真是在设计实现后,针对器件的布局、布线方案进行的时延仿真,分析定时关系。器件测试是在器件编程后,通过实验或借助于测试工具,测试器件最终功能和性能指标。开发环境如图3-1所示:

图3-1 Quartus开发环境

3.2 设计的主要流程

本次实验其主要流程[7]如图3-2所示:

图3-2 设计流程图

具体设计步骤:一是设计输入,可以原理图输入,也可以用VHDL 语言输入;输入完

成后,就综合(其实综合就是编译,点击COMPILE 图标就可以了,软件会自动实现综合过

程);编译成功后,就开始时序仿真,通过创建输入信号波形(也就是激励文件),自动产生输出波形,看输出波形否和我们设计的一致,是否正确,正确的话,通过JTAG下载。

3.3 设计内部数据量的变换

根据视频图像采集系统软件设计的功能可以推测出本实验包括的主要模块有

IIC_CONFIG 模块和TV_TO_VGA 模块。其中IIC_CONFIG 模块主要是用来通过给TVP5150

赋值来控制 TVP5150工作模式。TVP5150采用IIC 总线接口配置,采用Verilog HDL 硬件描述语言设计实现,集成了一个视频解码器,能够满足高达6 通道模拟视频输入,可以自动检测标准模拟基带电视信号,包括NTSC,PAL,SECAM 视频制式,转换为符合

CCIR601/CCIR656 的4:2:2 分量数字视频数据。对TVP5150 的配置,只需将数据写入TVP5150 的寄存器中,所以IIC 控制器只需实现IIC 的写数据控制。整个功能由两个模块来完成,IIC_Controller 模块用来产生IIC 总线规范的时序,IIC_Config 模块用来产生需要配置的寄存器的地址和配置参数[5]。TV_TO_VGA 模块主要包括ITU_R656 解码模块、视频图像存储模块、YUV 到RGB 色域模块,完成的功能包含视频信号的采集、分配、存储以及色度空间的转换。ITU_R656 解码模块对电视解码器芯片TVP5150 解码得到的YCrCb(4:4:2)数据源在插值之后得到YCrCb(4:4:4)信号,同时生成13.5MHz 的像素时钟及消隐信号。其中双端口线路缓冲器模块和HSYNC×2 模块可以实现去交织操作,时钟像素从13.5MHz 变为27MHz,HSYNC 信号从31.4kHz 降到15.7kHz。双端口吸纳路缓冲器模块内部使用一个1K 字节长的双口SRAM 将YCrCb 数据量加倍。最终YCrCb2RGB 模块将YCrCb×2 数据送到VGA 显示器上输出,VGA 时序发生器模块用来生成单独的VGA同步信号VGA_HS 和VGA_VS,以便VGA 显示器显示输出数据[8]。

3.3.1 IIC数据总线

IIC总线是用于连接微控制器及外围设备的。其主要特征有以下几点:

(1):只要求两条总线线路:一条串行数据线SDA,一条串行时钟线SCL。

(2):每个连接到总线的器件都可以通过唯一的地址和一直存在的简单的主机/从机关系软件设定地址,主机可以作为主机发送器或主机接收器;

(3):它是一个真正的多主机总线,如果两个或更多主机同时初始化,数据传输可以通过冲突检测和仲裁防止数据被破坏;

(4):串行的8 位双向数据传输位速率在标准模式下可达100kbit/s,快速模式下可达400kbit/s,高速模式下可达3.4Mbit/s;

(5):连接到相同总线的IC 数量只受到总线的最大电容400pF 限制。

而实现实现IIC总线通信协议主要有两种方法:利用MCU对两根I/O口线进行软件编程,模拟IIC总线的SCL和SDA时序要求;使用专用IIC总线控制核,但受其主机接口方式和时钟频率的限制,在有些场合应用并不方便。本设计中用VHDL对FPGA的两根I/O口进行IIC总线控制核设计。

IIC控制模块的设计按照的是自顶而下的方法。其可分成三个模块: 12C_TOP模块、IIC_CMD模块、12C_CORE模块。其大致结构如图3-3所示:

图3-3 IIC模块内部设计

此图中IIC_TOP是顶层控制模块主要负责接受FPGA发来的控制信号、命令及数据;发送由从设备读出的数据和确认位到FPGA;实现IIC控制核与FPGA的中断通信机制;提供当前IIC的工作状态;把FPGA发出的命令信号IIC_cmd模块。IIC控制设计的核心工作是对IIC 总线命令及时序的状态发送到划分。在控制核内设置了两个状态机,分别称为命令状态机和时序状态机,其中命令状态机用于管理IIC总线具体的读写操作的命令状态转移过程;时序状态机用于实现IIC总线上启动、停止、读、写、确认等命令的具体时序关系。

IIC的工作原理:IIC总线是由数据线SDA和时钟SCL构成的串行总线,可发送和接收数据。在CPU与被控IC之间、IC与IC之间进行双向传送,最高传送速率100kbps。各种被控制电路均并联在这条总线上,但就像电话机一样只有拨通各自的号码才能工作,所以每个电路和模块都有唯一的地址,在信息的传输过程中,IIC总线上并接的每一模块电路既是主控器(或被控器),又是发送器(或接收器),这取决于它所要完成的功能。CPU发出的控制信号分为地址码和控制量两部分,地址码用来选址,即接通需要控制的电路,确定控制的种类;控制量决定该调整的类别(如对比度、亮度等)及需要调整的量。这样,各控制电路虽然挂在同一条总线上,却彼此独立,互不相关。

IIC总线在传送数据过程中共有三种类型信号,它们分别是:开始信号、结束信号和应答信号。

开始信号:SCL为高电平时,SDA由高电平向低电平跳变,开始传送数据。

结束信号:SCL为低电平时,SDA由低电平向高电平跳变,结束传送数据。

应答信号:接收数据的IC在接收到8bit数据后,向发送数据的IC发出特定的低电平

脉冲,表示已收到数据。CPU向受控单元发出一个信号后,等待受控单元发出一个应答信号,CPU接收到应答信号后,根据实际情况作出是否继续传递信号的判断。若未收到应答信号,由判断为受控单元出现故障。IIC时序模块的电平交换如图3-4所示:

图3-4 IIC时序模块的电平交换

目前有很多半导体集成电路上都集成了IIC接口。带有IIC接口的单片机有:CYGNAL的C8051F0XX系列,PHILIPSP87LPC7XX系列,MICROCHIP的PIC16C6XX系列等。很多外围器件如存储器、监控芯片等也提供IIC接口。

3.3.2 数据扫描程序

水平线像素计数:

在计数过程中先给与一个信号,如果是下降沿信号则像素计数器清零,如果不是则检查是否为最后一行,如果是则像素计数器清零,不是的话开始计数。

always @ (posedge pixel_clock or negedge_reset_n) begin

if (!reset_n)

begin // 寄存器清0

pixel_count <= 11'h000;

end

else if (pixel_count == (`H_TOTAL - 1))

begin // 最后一行

pixel_count <= 11'h000; // 寄存器清0

end

else

begin

pixel_count <= pixel_count +1;

end

end

创建水平同步脉冲:

always @ (posedge pixel_clock or negedge reset_n) begin

if (!reset_n)

begin

hs <= 1'b0; // 计数器清0

end

else if (pixel_count == (`H_ACTIVE + `H_FRONT_PORCH -1))

begin // 开始计数

hs <= 1'b1;

end

else if (pixel_count == (`H_TOTAL - `H_BACK_PORCH -1))

begin // 停止计数

hs <= 1'b0;

end

end

IICC总线初始化:

IIC模块的初始化包括以下几个方面:

1.从机初始化

(1)写:IICA——设置从地址。

(2)写:IICC——使能IIC和中断。

(3)初始化若干所需RAM变量用于数据传输。

2.主机初始化

(1)写:IICF——设置IIC波特率。

(2)写:IICC——使能IIC和中断。

(3)初始化若干所需的RAM变量用于数据传输。

(4)写:IICC——使能TX(这一位表征发送/接收)。

(5)写:IICC——使能MST(主模式)。

(6)写:IICD寻址从目标(这个字节的最低一位将确定这次通信是主接收还是主发送)。IIC总线在图像采集系统中初始化过程[14]如图3-5所示:

图3-5 IIC初始化过程图

图像采集通用控制系统的设计与实现

图像采集通用控制系统的设计与实现 张超,古乐野,徐晓,乔忠慧 (中国科学院成都计算机应用研究所,四川 成都610041) 摘要:本文介绍了一种基于ARM的实时图像采集通用控制系统的设计与实现。在设计中主要采用了LPC2132微控制器芯片和四相步进电机正弦波驱动器STK672—080芯片。文中主要介绍了该控制系统的工作原理、系统组成、硬件设计以及软件设计中的关键问题。 关键词:ARM;图像采集;人机交互;步进电机 中图分类号:TP368.1文献标志码:B Design and Implementation of Universal Control System in Image Collection ZHANG Chao, GU Le-ye, XU Xiao, QIAO Zhong-hui (Chengdu Institute of Computer Application, Chinese Academy of Sciences, Chengdu, Sichuan 610041, China) Abstract:This paper introduced the design and implementation of real-time image collection’s control system based on ARM. LPC2132 microcontroller and the sine wave stepping motor driver were adopted in the system. This paper also described the construct of the system, design of hardware, several critical techniques in the development of software of the control system. Key words: ARM; image collection; human-computer interaction; stepping motor 0 引言 OCR(Optical Character Recognition)阅读机是以实时图像采集和图像处理为核心技术的, 主要由送纸机构、扫描主体和接纸机构三部分组成。送纸机构主要功能是搓动纸张,并将纸张传送到扫描主体中;扫描主体的主要功能是采集图像,并将纸张传送到接纸机构中;接纸机构主要功能是装载已经扫描过的纸张。在OCR阅读机中,控制系统的性能直接决定图像采集的速度。本文介绍了一种基于ARM的图像采集通用控制系统的设计方案,这种方案比较稳定、可靠,还大大提高了图像采集的速度。 1 系统结构设计和工作原理 图1 OCR阅读机的控制系统部分组成框图 本控制系统主要有ARM主控模块、电源模块、信号检测模块、电机驱动模块和显示驱动及按键模块五个部分;ARM控制模块是主控模块;电源模块提供系统中各芯片所需的电

无线图像采集系统的设计与实现

0引言 视频监控目前已得到广泛的应用,一般采用如下方案:使用具有较高成像质量的CCD(charge-coupled device)传感器摄像头,通过S-VIDEO端子实时传送数据,这种方案需要摄像头与采集端设备连线,同时监控中心需要有较大的存储空间来存储图像与视频片段,还需要电视墙来对不同地点的目标进行实时监控,此方案适合于公共场所的安防和监控,实时性高,但能耗大,成本昂贵。对于需要远程监控的生产环境,例如农业、种植业、畜牧业以及工业厂房的监控,包括动物的异常举动,种植现场环境的突然变化,厂房可疑人员的入侵监控等,上述方案难以满足图像与视频中信息智能处理的需要,而基于嵌入式ARM-Linux的无线图像采集系统成为合适的选择。在802.11无线协议应用经已成熟的前提下,研究的重点在于传感器节点所采用的硬件平台和数据流格式,当前的主流方案包括:①ARM+DSP(digital signal processing)[1]:由ARM 架构CPU(central processing unit)担任传感器节点的总控制角色,利用DSP信号处理芯片的高速处理能力对图像数据进行压缩和相关预处理,该方案适合需要较多数值运算的JPEG (joint photographic experts group)数据流。②FPGA(field-progra-mmable gate array)+视频编解码芯片[2]:利用FPGA的并行处理能力同时传送和处理多组图像与视频数据,由于FPGA的硬件可重写性,该方案适合于在实验阶段进行设计上的查错和优化。③ARM:使用高主频的ARM架构CPU,同时担任中央控制和图像处理的角色。ARM为通用精简指令集架构,具有足够的流水线来应对复杂的逻辑运算,适用于处理逻辑运算量较大的压缩算法,例如PNG格式所采用的Deflate压缩算法,同时,ARM-Linux架构具有成熟的工作基础,固采用方案3设 收稿日期:2010-01-10;修订日期:2010-03-09。

LabVIEW应用于实时图像采集及处理系统

LabVIEW应用于实时图像采集及处理系统 2008-7-29 9:35:00于子江娄洪伟于晓闫丰隋永新杨怀江供稿 摘要:本文在LabVIEW和NI-IMAQ Vision软件平台下,利用通用图像采集卡开发一种图像实时采集处理虚拟仪器系统。通过调用动态链接库驱动通用图像采集卡完成图像采集,采集图像的帧速率达到25帧每秒。利用NI-IMAQ Vision视频处理模块,进行图像处理,以完成光电探测器的标定。该系统具有灵活性强、可靠性高、性价比高等优点。 主题词:虚拟仪器;图像处理;LabVIEW;动态链接库 1.引言 美国国家仪器(NI)公司的虚拟仪器开发平台LabVIEW,使用图形化编程语言编程,界面友好,简单易学,配套的图像处理软件包能提供丰富的图像处理与分析算法函数,极大地方便了用户,使构建图像处理与分析系统容易、灵活、程序移植性好,大大缩短了系统开发周期。在推出应用软件的基础上,NI公司又推出了图像采集卡,对于NI公司的图像采集卡,可以直接使用采集卡自带的驱动以及LabVIEW中的DAQ库直接对端口进行操作。 但由于NI公司的图像采集卡成本很高,大多用户难以接受,因此硬件平台往往采用通用图像采集卡,软件方面的图像处理程序仍采用LabVIEW以及视频处理模块编写。本文正是基于这样的目的,提出了一种在LabVIEW环境下驱动通用图像采集卡的方案,在TDS642EVM高速DSP视频处理板卡的平台下,完成实时图像采集及处理。 在图象处理的工作中主要完成对CCD光电探测器的辐射标定。由于探测器在自然环境下获取图像时,会受到来自大气干扰,自身暗电流,热噪声等影响,使CCD像元所输出信号的数值量化值与实际探测目标辐射亮度之间存在差异,所以要得到目标的精确图像就必须对探测器进行辐射标定。 2.图像采集卡简介 闻亭公司TDS642EVM(简称642)多路实时视频处理板卡是基于DSP TMS320DM642芯片设计的评估开发板。计算能力可达到4Gips,板上的视频接口和视频编解码芯片Philips SAA7115H相连,实现实时多路视频图像采集功能,支持多种PAL,NTSC和SECAM视频标准。本系统通过642的PCI接口与主机进行数据交换。PCI支持“即插即用(PnP)”自动配置功能,使图像采集板的配置变得更加方便,其一切资源需求的设置工作在系统初启时交由BIOS处理,无需用户进行繁琐的开关与跳线操作。PCI接口的海量数据吞吐,为其完成实时图像采集和处理提供保证。 3.系统组成及工作原理

图像采集系统设计

DSP实习报告 题目:图像采集系统的设计 班级:xxx 姓名:xxx 学号:xxx 指导老师:xxxx

目录 一.实习题目 (3) 二.实习背景知识 (3) 三.实习内容 (5) 四.实习程序功能与结构说明 (8) 六.实习心得 (19)

一、实习题目 图像采集系统的设计 二、实习目的: 1、熟练掌握数字信号处理的典型设计方法与技术手段; 2、熟悉D6437视频输入,输出端的操作及编程。; 3、掌握常用电子仪器设备的使用方法; 4、熟悉锐化变换算法。 三、实习背景知识 1、计算机 2、CCS3.3.软件 3、DSP仿真器 4、EL_DM6437平台 EL-DM6437EVM是低成本,高度集成的高性能视频信号处理开发平台,可以开发仿真达芬奇系列DSP应用程序,同时也可以将该产品集成到用户的具体应用系统中。方便灵活的接口为用户提供良好的开放平台。采用该系列板卡进行产品开发或系统集成可以大大减少用户的产品开发时间。板卡结构框图如图所示:

板卡硬件资源: TMS320DM6437 DSP ,可工作在400/600 MHz; 2 路视频输入,包括一个复合视频输入及一个S端子视频输入; 保留了视频输入接口,可以方便与CMOS影像传感器连接; 3 路视频输出,包括2路复合视频,一路S端子输出; 128MByte 的DDR2 SDRAM存储器,256MBit的Nor Flash存储器;用户可选的NAND Flash接口; 可选的256K字节的I2C E2PROM; 1个10M/100Mbps自适应以太网接口; 1 路立体声音频输入、1路麦克风输入,1路立体声音频输出; USB2.0高速接口,方便与PC连接; 1个CAN总线、1个UART接口、实时时钟(带256Byte的电池保持RAM);4个DIP开关,4个状态指示LED; 可配置的BOOT模式; 10层板制作工艺,稳定可靠; 标准外部信号扩展接口; JTAG仿真器接口; 单电源+5V供电; 板卡软件资源:

基于Labview的图像采集与处理

目前工作成果: 一、USB图像获取 USB设备在正常工作以前,第一件要做的事就是枚举,所以在USB摄像头进行初始化之前,需要先枚举系统中的USB设备。 (1)基于USB的Snap采集图像 程序运行结果: 此程序只能采集一帧图像,不能连续采集。将采集图像函数放入循环中就可连续采集。

循环中的可以计算循环一次所用的时间,运行发现用Snap采集图像时它的采集速率比较低。运行程序时移动摄像头可以清楚的看到所采集的图像有时比较模糊。 (2)基于USB的Grab采集图像 运行程序之后发现摄像头采集图像的速率明显提高。

二、图像处理 1、图像灰度处理 (1)基本原理 将彩色图像转化成为灰度图像的过程成为图像的灰度化处理。彩色图像中的每个像素的颜色有R、G、B三个分量决定,而每个分量有255中值可取,这样一个像素点可以有1600多万(255*255*255)的颜色的变化范围。而灰度图像是R、G、B三个分量相同的一种特殊的彩色图像,其一个像素点的变化范围为255种,所以在数字图像处理种一般先将各种格式的图像转变成灰度图像以使后续的图像的计算量变得少一些。灰度图像的描述与彩色图像一样仍然反映了整幅图像的整体和局部的色度和亮度等级的分布和特征。图像的灰度化处理可用两种方法来实现。 第一种方法使求出每个像素点的R、G、B三个分量的平均值,然后将这个平均值赋予给这个像素的三个分量。 第二种方法是根据YUV的颜色空间中,Y的分量的物理意义是点的亮度,由该值反映亮度等级,根据RGB和YUV颜色空间的变化关系可建立亮度Y与R、G、B三个颜色分量的对应:Y=0.3R+0.59G+0.11B,以这个亮度值表达图像的灰度值。 (2)labview中图像灰度处理程序框图 处理结果:

实时图像采集系统的设计与实现

实时图像采集系统的设计与实现 引言 随着数字多媒体技术的不断发展,数字图像处理技术被广泛应用于身份识别、电视会议、监控系统、工业检测等各种商用、民用及工业生产领域中。这些数字图像处理系统中,一个共同的特点的就是数据量庞大,尤其是在图像帧率及分辨率要求比较高的场合下,以指纹识别系统为例,图像分辨率的高低直接影响系统的鲁棒性,一般来说,为了能够清晰的辨别指纹中的特征结构,指纹图像需要达到至少500DPI的分辨率。通常,为了能够满足各类手指大小以及采集方式的要求,图像采集系统的尺寸都不可能做得太小(一般在2英寸以上),这就要求图像解析度至少达到1024×768,最好是1280×1024(1.3M),如果要做到实时采集和处理(30F/s),数据量将达到1280×1024×30×8=300Mbit/s。 伴随着超大规模集成电路和DSP处理技术的飞速发展,新的高速CPU和高性能DSP处理芯片不断推出市场,在这些技术的有力支持下,复杂的图像处理算法往往容易实现。与此同时,图像数据采集部分由于缺乏专用芯片的支持,而且受限于系统总线带宽,已经成为数字图像系统中的主要瓶颈所在。 主流的图像采集方式 目前数字图像采集主要采用两种方式: 一种是以专用的数据采集卡,配合PC机的各种高速数据总线如PCI,USB2.0,firewire1394等采集数据。 PC机的优势是拥有大量的高速内存可以用作数据采集时的缓存,而且它的各种数据总线具有比较高的数据传输率,PCI总线的速率为32(Bit)×66=2112Mbit/s,USB2.0的数据传输峰值可以达到480 Mbit/s,firewire也可以达到400Mbit/s的传输速率。问题在于,PC机的体系结构决定了任何外设都只可能是从设备,只能请求总线资源,而不能主动占有。在Windows(或是Linux)这些实时多任务操作系统的调度下,即使在系统不运行其它应用程序的情况下,系统时间片和系统资源也会被操作系统内核和各类外设分享。尤其是PCI总线,包括内存、硬盘在内的很多PC内置设备都会用到PCI,实际留给采集程序的总线带宽将大打折扣。正因为如此,现在基于PC的数据采集设备性能都不太理想,采集1.3M象素图像时只能达到每秒7、8帧的帧率,达不到实时性要求。 另外,对PC机的依赖直接限制了这类系统的应用范围,也间接提高了系统成本。 另一种方法是基于嵌入式DSP和FPGA的采集方法。通过FPGA或CPLD 的控制和调度,利用DSP的数据通道来采集数据。嵌入式平台具有便携性好,成本较低的优势,越来越多的应用到数字图像处理的各个领域。 一个成熟的系统体系结构要求系统内各部分分工明确,同时又具有一定的通用性和可移植性。嵌入式平台上的DSP芯片在数字信号处理方面有着独到的优势,但是通用性能无法和PC机上的CPU相比,通常主要用来处理复杂的运算。实时数据采集属于简单而繁琐的任务,用DSP完成可谓大材小用,势必影响整个系统的性能。即使是某些DSP可以用DMA方式采集数据,但是由于图像的

15个图像采集系统的经典设计应用案例

15个图像采集系统的经典设计应用案例 图像采集是视频信号处理系统的前端部分,正在向高速、高分辨率、高集成化、高可靠性方向发展。图像采集系统在当今工业、军事、医学各个领域都有着极其广泛的应用,如使用在远程监控、安防、远程抄表、可视电话、工业控制、图像模式识别、医疗器械等各个领域都有着广泛的应用。本文为大家介绍的是一些基于不同方案的图像采集系统的设计案例。 基于STM32的嵌入式双目图像采集系统设计 本文基于嵌入式的图像采集系统选择了意法半导体(ST)公司生产的 STM32F103ZET6芯片为主控芯片,FIFO结构的AL422B芯片实现图像数据缓存,SD卡实现图像存储以及四线制电阻触摸屏实现外部控制。实现通过OV7670双摄像头采集图像数据,经主芯片控制存储、显示。 基于TMS320F2812的视频图像采集系统的设计 本设计采用DSP的片上ADC实现图像采集,用DSP和CPLD搭建数据采集系统时,不必外接专业的图像采集芯片,避免了复杂的硬件设计,同时提供了足够的处理能力。本设计采用TI公司的TMS320F2812芯片采集图像,并搭建TMS320C6416t 处理图像,实现了基于DSP的视频图像采集。 基于FPGA的嵌入式图像采集系统设计 本文实现了一种基于CycloneII系列FPGA与视频信号处理芯片SAA7113H的嵌入式图像采集系统。系统结构简单、系统稳定、功耗低、成本低、速度快以及接口方便,可以满足视频监控系统等的需要。图像采集系统中采用FPGA作为采集控制部分,可以提高系统处理的速度及系统的灵活性和适应性,对于不同的视频图像信号,只要在FPGA内对控制逻辑稍作修改,便可实现信号采集。 基于CPLD的线阵CCD图像采集系统 介绍了一种基于CPLD的图像采集系统,详细论述了线阵CCD的驱动方法、图像信号的处理与传输,并给出了测试结果。此系统很好地完成了高速运动状态下的图像采集工作。 基于TMS320F206 DSP的图像采集卡设计方案 在利用电话线传输视频图像这一低比特率多媒体通信领域中,如果选用图像处理的专用芯片,都会使产品价格大幅度标升。本文介绍的采用TI公司的低档DSP 芯片TMS320F206和视频A/D芯片TLC5510的图像采集卡,则为低比特率多媒体通信提供了一个廉价的解决方案。 基于CCD星载相机图像采集电路设计与实现 本文中的CCD相机图像采集电路其CCD传感器接收前端光学系统的成像,偏压电路为CCD传感器提供必需的偏置电压,可编程逻辑器件产生的时序脉冲经过驱动电路对CCD进行控制采集,输出保护电路可对CCD进行有效地防护保护,预处理电路对CCD输出的带噪模拟信号进行处理后便于后续电路使用。

基于单片机的图像采集系统设计

目录 0 前言 (1) 1总体方案设计 (1) 2 系统硬件设计 (3) 3 软件设计 (9) 3.1软件设计概述 (9) 3.2程序流程图 (9) 3.3子程序模块设计 (10) 4系统调试及结果分析 (11) 5结论及进一步设想 (14) 参考文献 (14) 课程设计体会 (15) 附录1 元件清单 (16) 附录2 系统电路图 (17) 附录3 源程序 (18)

基于单片机的图像采集系统 刘杰薇沈阳航空航天大学自动化学院 摘要:传统的工业级图像处理采集系统大多是由CCD摄像头、图像采集卡和PC机组成,虽已得到了广泛的应用,但是它具有结构复杂,成本高,体积大,功耗大等缺点。随着单片机的迅速发展,开发一种智能控制及智能处理功能的微型图像处理采集系统成为可能,并且也克服了传统图像处理采集系统的诸多缺点。 本设计的图像采集系统采用AT89C51单片机为中心器件,利用74LS373寄存器、62256存储器。将软、硬件有机地结合起来,使得系统能够实现对摄像头传输的图像信号的采集,并保存在外置存储芯片中。其中软件系统采用C语言编写程序,包括延时程序、地址顺延程序等,硬件系统利用PROTEUS强大的功能来实现,简单切易于观察,在仿真中就可以观察到实际的工作状态。 关键字:单片机;图像采集;数字摄像头 0前言 近年来随着科技的飞速发展,单片机的应用正在不断的走向深入,同时带动着传统控制检测日新月异更新。在实时检测和自动控制的单片机应用系统中,单片机往往是作为一个核心部件来使用,仅单片机方面的知识是不够的,还要根据具体的硬件结构,以及针对具体的应用对象的软件结合,加以完善。数字图像采集由于其大数据量通常采用DSP等高速处理器来实现。在某些领域方面图像实时性的要求并没有那么高,因此,本文采用STC89C51单片机作为控制器进行图像采集。 1总体方案设计 方案一: 由于C51单片机的RAM存储容量有限,而且受到C51单片机的IO工作频率的限制,直接通过C51单片机采集完整一帧的数字信号很难实现。因此,在C51单片机和数字摄像头之间加入一个先进先出存储器,由PC端通过串口控制C51单片机启动采集信号,采集一帧数据先放入存储器,然后单片机从存储器读出数据并通过串口发送至PC显示。整体设计框图如下:

基于单片机的图像处理采集系统

( 二 〇 一 二 年 六 月 本科毕业设计说明书 题 目:基于单片机的图像处理采集系统设 计与实现 学生姓名: 学 院: 系 别: 专 业: 班 级: 指导教师:

摘要 传统的工业级图像处理采集系统大多是由CCD摄像头、图像采集卡和PC机组成,虽已得到了广泛的应用,但是它具有结构复杂,成本高,体积大,功耗大等缺点。随着单片机的迅速发展,开发一种智能控制及智能处理功能的微型图像处理采集系统成为可能,并且也克服了传统图像处理采集系统的诸多缺点。 本设计提出了基于单片机的图像采集系统,该系统主要由四大模块组成:第一个是单片机控制模块,对摄像头进行控制;第二个是摄像头模块,即进行图像拍摄和取图;第三个是Zigbee无线传输模块,功能是将图像传送到上位机;最后是上位机,实现图像显示功能。其优点是硬件电路简单,软件功能完善,控制系统可靠,性价比较高,使用环境广泛及成本低等。利用Proteus和Keil进行仿真调试,可以看到设计内容的运行结果,验证系统运的行正确及稳定性,并且实现了图像处理采集功能,所以具有一定的实用和参考价值。 关键词:单片机;Proteus;图像采集

Abstract The traditional industrial image processing collection system by CCD camera, mostly image collection card and PC unit into, although already a wide range of applications, but it has the structure is complex, high cost, big volume and shortcomings, such as big power consumption. With the rapid development of the single chip microcomputer, the development of a kind of intelligent control and intelligent processing function of micro image processing collection system possible, and also overcome traditional image processing collection system of many of the faults. This design is put forward based on SCM image acquisition system, the system consists of four modules: the first one is the single chip microcomputer control module, the camera to control; The second is a camera module, the image shoot and take diagram; The third is Zigbee wireless transmission module, the function is will images to PC; Finally the PC, realize image display function. Its advantage is hardware circuit is simple, software perfect function, control system and reliable, high cost performance, use extensive and environment cost low status. Use Proteus and Keil simulation commissioning, can see the operation of the design content, as demonstrated the correct and do the system stability, and realize the image processing collection function, so has certain practical and reference value. Keywords:Single-Chip Microcomputer;Proteus; Image Capture

基于fpga的图像采集系统

基于FPGA的图像采集系统设计 前言 随着科技社会的发展,图像采集系统在日常生活、工业生产、国家安全等众多领域得到广泛的应用,具有广阔的应用前景和研究价值。采用FPGA进行设计的图像采集系统有良好的扩展性能和相对稳定的硬件结构。主要工作如下: 1)分析图像采集和图像处理的原理和特点,设计硬件电路的原理图,完成系统PCB板图的设计。 2)针对FPGA进行内部功能模块的划分,使用Verilog HDL硬件描述语言进行设计。 3)利用SOPC Builder 完成NIOS处理器软核及其外设的创建和配置。 4)根据FPGA内部硬件电路的特点,选择适合FPGA的算法,并对算法进行优化。 5)在NIOS II IDE环境下用Verilog HDL语言编写图像传感器控制、图像采集、SRAM读写控制、图像显示等程序,实现完整的图像采集系统功能。 1课题分析 1.1 选题背景(含国内外相关研究综述及评价)与意义 EDA技术的发展和应用普及性越来越高,已经涉及到现代电子产品设计的各个系统、各个领域中。之所以有这样的广泛应用,主要得益于可编程逻辑器件的长足发展和日益成熟,可编程逻辑器件(Programmable Logic Device, PLD)是一种半定制集成电路,在其内部集成了大量的门和触发器等基本逻辑单元电路,通过用户编程来改变PLD内部电路的逻辑关系或连线,从而得到所需要的电路设计功能。这种新型逻辑器件,不仅速度快、集成度高,能够完成用户定义的逻辑功能,还可以加密和重新定义编程,其允许编程次数可以达到上万次。可编程逻辑器件的出现,大大改变了传统数字系统设计方法,简化了硬件系统、降低成本、提高系统的可靠性、灵活性。因此,自20世纪70年代问世以后,PLD受到广大工程师的青睐,被广泛应用于工业控制、通信设备、仪器仪表和医疗电子仪器等众多领域,为EDA技术开创了广阔的发展空间。 VGA作为一种标准的显示接口,在视频和计算机领域得到了广泛的应用。VGA图像信号发生器是电视台、电视机生产企业、电视维修人员常用的仪器,其主要功能就是产生标准的图像测试信号。对VGA而言,其主要应用在工业控制领域,通过VGA接口实现将信息、图像、文字等内容显示各种VGA显示器上,为客户提供一种完美的显示界面,也是目前重要的显示终端。本次实验设计主要是基于FPGA芯片为处理器,利用硬件描述语言完成VGA的时序控制,并利用相应的实验平台,进行设计验证,基本实现了VGA 的彩条信号和图像显示效果,达到了整个设计目标和要求。

基于STM32的图像采集与显示系统的研究与设计

基于STM32的图像采集与显示系统的研究与设计 摘要:随着图像采集与显示在社会各方面的广泛应用,对于图像采集与显示技术的研究具有极高的社会价值和经济价值;本文采用ov7670和al422采集和存储图像,然后采用stm32处理数据并控制tft液晶将采集的图像进行显示,系统的介绍图像处理与显示的基础知识。 关键词:图像采集与显示 stm32 ov7670 al422 中图分类号:tn873 文献标识码:a 文章编号: 1007-9416(2012)02-0094-01 随着图像采集处理技术的进步和社会的发展,其被广泛的运用于社会社交,远程医疗及实时监控等各个方面。基于摄像头图像采集与显示技术拥有广泛的应用市场和广阔的发展前景。本文的核心在于采用ov7670摄像头采集图像,然后通过stm32处理数据,系统的研究和学习图像采集与显示的知识。 1、原理概述 本系统基于stm32高位单片机通过软件编程设置ov7670摄像头内部参数采集图像,并将采集到的图像转换为数字信号存储在 al422里;随后stm32将存储在al422内部的数字代码提取出来,再经过算法处理将数据显示液晶显示屏tft上。 该系统可分为数据采集,数据存储,数据处理,数据显示四个板块,通过整合后合并为数据采集与存储和数据处理与显示两大模

块。 2、数据的采集与存储 2.1 数据的采集[1] 图像的采集选用的是图像传感器ov7670,它体积小,工作电压低,功能强大且使用方便灵活。其通过sccb总线接口编程实现伽玛曲线、白平衡、饱和度、色度等图像处理功能,其中ommivision图像传感器应用独有的传感器技术,通过减少或消除光学或电子缺陷如固定图案噪声、托尼、浮散等,提高图像质量,得到清晰的稳定的彩色图像,同时其外部硬件电路配置灵活。 2.2 数据的存储 采用al422进行数据存储存。一帧图像信息通常包含640x480或720x480个字节,市面上很多存储器由于容量有限,无法存储一帧的图像信息;而al422容量很大可存储一帧图像的完整信息,并能够自行刷新数据,其工作频率可达50mhz,大大提高了存储速度;同时价格相对来说比较便宜,因此选择al422作为数据中转站。3、数据的处理与显示 3.1 数据的处理[2-3] 为了更好地处理图像信息,使数据信息的采集效果更好,选用stm32系列单片机做为系统的控制核心。stm32系列单片机具有大容量的flash存储容量,采用arm cortex-m3内核,性能高、功耗低、成本低且处理速度快,选用这类单片机性价比较高。该系列单

DSP图像采集处理系统设计实例

DSP图像采集处理系统设计实例 本章将介绍基于TI C6000系列DSP芯片的图像采集处理系统实例。第一节介绍图像处理系统的应用。第二节介绍图像采集系统的基本结构,着重分析如何平衡需求和成本的设计方法。第三节介绍系统的硬件设计,分析DSP和图像采集芯片的接口、电气知识等,给出了设计方案。最后介绍系统的软件设计,主要介绍本系统的软件设计方案,同时也重点介绍TI的图像库。 1 图像采集处理系统的应用 数字图像处理技术是计算机图形深入应用和高层应用的一个极其广泛的领域,它把来自照相机、摄像机或者传真扫描装置、医用CT机、x光机等的图像,经过数学变换后得到数字图像信息,再由计算机进行编码、滤波、增强、复原、压缩、存储等处理,最后产生可视图像,这种技术称为图像处理(Image Processing)。图像处理技术在通信科学、生产与管理、多媒体技术、高清晰度电视、医用图像处理、商品电子化、目标跟踪等领域得到了广泛的应用。在通信事业上,传统的图像信息传输是以模拟图像信号形式出现的。为了提高信息传输的质量和速度,近来数字图像信号处理与传输技术正在迅猛发展,并逐步取代传统的模拟信号处理与传输技术。目前,“信息高速公路”成了发达国家的热门课题,其中数字图像处理技术则成为它的极其重要的部分。而且,数字图像处理技术还与当前乃至21世纪的一些关键电子技术及电子产品密切相关。 目前数字图像处理技术几个引人瞩目的高科技领域包括: 1.高清晰度电视(HDTV) 高清晰度电视是当今国际高科技竞争的制高点之一,占领这个制高点者,必将拥有巨大的经济效益。目前主要有两种发展模式:一是日本、西欧等国家在现有的基础上进行改良;二是美国推出的全数字HDTV,1992年美国推出了4种全数字HDTV,它们的关键技术是在视频图像信号处理上采用最先进的信源图像压缩编码技术。然而,其价格上分昂贵,难以真正商品化。这种状况的丰要原因是压缩编码方法的效率不高。 2.商业电子化 20世纪90年代,由于美国商品零售业的发展,出现了新兴的零售连锁集团,它凭借现代化的计算机管理信息系统所带来的零库存、低成本和低售价,迅速占领了市场,成为美国商品零售业的首批巨人。“这种商业电子化”大市场吸引着越来越多的创业者、高科技公司,以致一些世界性大公司纷纷涉足于这一领域。 商业零售业作为市场流通的枢纽与各行各业密切相关,它使得商业电子化成为一项复杂的系统工程,它不仅仅使商场收款机电子化,而且它还使商场网络化、货币支付电子化甚至订货电子化等。在商业电子化过程中,商品信息的处理、存储与传输是十分重要的环节。

相关主题
文本预览
相关文档 最新文档