当前位置:文档之家› EDA实验指导书(最新)

EDA实验指导书(最新)

EDA实验指导书(最新)
EDA实验指导书(最新)

EDA技术实验指导书

吕常智尹唱唱卫阿盈

山东科技大学

信息与电气工程学院EDA实验室

使用说明

本实验指导书适用于EDA技术、VHDL语言及电子设计自动化等相关课程使用。它们都属于实践性很强的软件应用类课程,主要包含电子线路设计与仿真(EWB,MuiltSim等)、电子线路原理图与印刷电路板的设计与绘制(Protel99SE、PowerPCB等)、可编程逻辑器件CPLD/FPGA、VHDL语言及其应用设计(MAX+PlusII/QuartusII)等相关内容,涉及到电子信息、通信工程、电气技术、自动化、生物医学等所有电气信息类专业。基于以上原因,在设计本实验指导书时考虑到各专业和课程的需要,安排了有关EWB的实验一个,Protel99SE的实验两个,基于CPLD/FPGA的VHDL语言的实验十七个。二十个实验中包含验证性实验9个、设计性实验2个、综合性实验9个(具体请查看试验大纲)。实验的设计强调了提高学生的实践动手能力和创新设计能力,实验时,课程教师可以根据专业和课程的需要进行选择。由于所有试验都是基于软件开发平台的上机操作完成,实现设计和运行的方法较多,因此本指导书没有固定实验步骤和规定试验结果记录方式,这些在书写报告时由实验者自己拟定。另外,本实验指导书基于EDA 实验系统GW48-PK3编排,有关试验系统的默认设置及相关资料请参考附件1,并要求学生实验时一定注意预览。

当然,由于涉及的知识面比较广,时间紧迫,水平也比较有限,实验的编排不一定非常合理,书中也难免有误,不当之处敬请课程教师、实验指导教师及实验指导书使用者批评指正。

目录

试验一EWB设计应用 (1)

试验二Protel99SE 原理图设计 (4)

试验三Protel99SE 印刷板设计 (5)

试验四Max+plusII/QuartusII软件应用 (7)

试验五VHDL软件设计 (8)

试验六3-8译码器 (9)

试验七组合逻辑电路的VHDL描述 (10)

试验八触发器的VHDL描述 (11)

试验九扫描显示驱动电路 (13)

试验十VHDL硬件设计 (15)

试验十一数字钟 (18)

试验十二字符发生器 (19)

试验十三模拟信号检测 (20)

试验十四函数信号发生器 (22)

试验十五VHDL硬件设计 (23)

试验十六序列检测器设计 (27)

试验十七嵌入式锁相环PLL的应用实验 (28)

试验十八信号采集与频谱分析 (30)

试验十九循环冗余校验CRC模块设计 (32)

试验二十USB与FPGA通信实验 (33)

附录1 GW48 EDA/SOPC主系统使用说明 (35)

实验一EWB设计应用

一、实验目的

1、熟悉EWB的使用环境和EWB使用一般步骤。

2、了解电子EDA技术的基本概念。

3、掌握模拟、数字电子电路的设计与仿真方法。

二、实验仪器

计算机、EWB5.0软件。

三、实验内容

1、虚拟仪器的使用

(1)示波器

示波器为双踪模拟式,其图标和面板如下图1.1所示。

图 1.1 虚拟示波器

其中:Expand ---- 面板扩展按钮;

Time base ---- 时基控制;

Trigger ---- 触发控制,包括:①Edge ---- 上(下)跳沿触发;②Level ---- 触发电平;③触发信号选择按钮:Auto(自动触发按钮);A、B(A、B通道触发按钮);Ext(外触发按钮)X(Y)position ---- X(Y)轴偏置;

Y/T、B/A、A/B ---- 显示方式选择按钮(幅度/时间、B通道/A通道、A通道/B

通道);

AC、0、DC ---- Y轴输入方式按钮(AC、0、DC)。

(2)电压表

电压表的图标:,电压表的属性设置对话框如右图1.2所示。

图 1.2 电压表的属性设置对话框

(3)电流表 电流表的图标:

,电流表的属性设置对话框如图1.3所示。

图 1.3 电流表的属性设置对话框

(4)数字信号发生器 数字信号发生器的图标:

,数字信号发生器的属性设置对话框如图2.1所示:

图2.1 虚拟数字信号发生器

(5)逻辑分析仪

逻辑分析仪的图标:

,逻辑分析仪输出结果图2.2所示:

图2.2 虚拟逻辑分析仪的输出结果

2、实验电路图及步骤

(1)半波整流电容滤波电路仿真实验原理如图1.4。

面板

图1.4 实验电路

(2)数字全加器电路如图1.5

图1.5 全加器逻辑图

(3)操作步骤

①进入Windows 环境并建立用户文件夹。

②双击EWB 图标进入EWB 主窗口,创建仿真实验电路

③绘制设计电路(如图1.4、1.5所示):从相应库中拖拽出所需元器件和仪器仪表安放于合适的位置,然后利用工具栏的转动按钮使元器件符合电路的安放要求;点击元件引脚端点拉出引线至另一元件引脚端点即可连线;双击元件打开元件特性对话框,给元件标识、赋值;保存。

④设置虚拟仪器仪表:电压表、示波器(选择合适的扫描时间、垂直幅值和水平偏移量即可)、字信号发生器(编辑输出字信号的首末地址:首地址为0000,将Initial 置为0000;末地址为0007,将Final 置为0007;设置字信号输出方式:设置为单帧输出方式,以便于输出一帧后,进行查看;只要将Burst 钮按下即可设置输出频率,可设定为 1 KHz)和设置逻辑分析仪(可采用系统默认设置,在运行后,调整水平时间刻度数值,使在波形记录区记录到适当宽度的波形信号即可)。

⑤保存

⑥仿真:单击运行开关、启动电路运行。双击逻辑分析仪图标,显示其面板,适当调整时间刻度值( 如调到 4 ) ,就可看到输出波形。

3、自行设计纪录表格,完成实验报告

四、实验研究与思考

1、按实验各项要求,打印仿真波形和曲线图。

2、讨论电路参数对频率特性的影响。

3、字信号发生器的触发信号有何特点,如何设置?

4、逻辑转换器的使用有何优点,试用其设计一个4位二进制编码器。

实验二Protel99SE 原理图设计

一、实验目的

1、掌握Portel 99 SE电路原理图设计工具,图纸设置;

2、掌握设置网格、电气节点和光标的方法;

3、掌握装载元器件库、放置、编辑和调整元器件的方法;

4、通过实例熟悉电路图的设计过程,掌握具体电路的设计方法。

二、实验仪器

计算机、Portel 99 SE软件。

三、实验内容

1、实验电路图及步骤

(1)实验电路图

实验电路如图2.1所示。

图2.1 实验原理图电路

(2)实验步骤

①启动Protel 99 SE,新建一个设计数据库文件,名称定为―名称‖.ddb,启动电路原理图编辑器,建立一个原理图文件,命名为―名称‖.sch。

②先分析电路图中所有元器件的属性、加入的常用元器件库Sim.ddb Miscellaneous Devices.ddb 和Protel DOS Schematic Libraries.ddb。装载元器件库的步骤如下:

a、打开原理图管理浏览(编辑)器,在工作窗口为原理图编辑器窗口的状态下,单击设计管理器顶部

的Browse Sch标签即可打开原理图管理浏览器窗口;

b、装入原理图所需的元件库;

c、单击选择所需的库文件,然后单击ADD按钮。

③设置电路图图纸大小及版面。使用菜单命令Design│Options,执行该命令后,系统将弹出Document Options 对话框,并在其中选项Sheet Options 选项卡进行设置。

④在图纸上放置需要设计的元器件,然后把所有元器件和端口放置到电路原理图纸上,并把位置放好。

⑤按照上图所示,给相应的引脚添加网络标签,并连接所有的连线。

⑥保存电路图。

3、自行设计纪录方式,完成实验报告

四、实验研究与思考

1、原理图图库中缺乏需要的元件时,如何设计用户的原理图元件?可否直接对现有的元件直接修改以获得需要的原理图元件,此时需要注意哪些问题?

2、生成电气网络有何作用?

3、如何获得电路图元件清单?

实验三Protel99SE 印刷板设计

一、实验目的

1、熟悉电气规则检查和生成各种报表。

2、掌握具体网络表的生成方法。

3、熟悉印制电路板元件的设计方法。

4、掌握印制电路板图的设计过程。

二、实验仪器

计算机、Portel 99 SE软件。

三、实验内容

1、元件封装

图3.1中,各元件的封装型号为:

SED1335:QFP5-60B 27C256:DIP-28 or DIP28 or SOJ-28

HY62256:DIP-28 or DIP28 or SOJ-28 电阻:AXIAL0.3 or 0805

小电容(CAP):RAD0.1 电解电容:RB.2/.4

二极管:DIODE0.4 or 0805 LM7805CK:TO-220 or T0-126

J2/FORM8031:DB15/F CB1:SIP14 or SIP16

JP2:POWER4 晶振Y100:XTAL1

2、实验电路图和步骤

(1)实验原理图

如图2.1所示电路图原理图,设置电气检验规则,产生ERC报表,然后生成网络表,元器件列表和交叉参考表。

(2)实验步骤

①打开Protel99se和前次实验所绘制的电路原理图的DDB文件,新建一个PCB设计数据库文件,建立图

2.1所示原理图对应的PCB图文件,名称定为―姓名‖.PCB。

②打开上次所绘制的如图2.1所示原理图(名称.Sch),根据所给元器件封装形式,设置各元器件的封装。

③设置电气检验规则,即产生ERC表的各种选项,有Setup和Rule Matrix两个选项卡,如图3.2所示。

图3.2 电气规则检查设置

④执行Tools|ERC,生成ERC报表,检查报表并修正绘图错误直至没有Error为止。

⑤执行Design|Create Netlist,生成网络表。

⑥打开刚建立的PCB文件,并调入常用元件封装库(PCB FootPrints.lib),并在KeepOutLayer层绘制电路板外框。

⑦由菜单Design|Load Nets,载入由原理图生成的电路网络连接表(若有错误则根据错误进行修改正确后,点击Execute执行元件调入。

⑧执行Tools|Auto Placement|Auto Placer…执行自动布局,或采用手动布局方式,将各元件放置到禁止布线层内的合适完位置。

⑨执行Auto Route|All,设置好自动布线的所有规则,然后点击Route All自动生成PCB图;也可采用采用合适的线宽和线的间距,将具有网络连接关系的元件管脚连接起来,以实现手动布线。

3、自行设计纪录表格,完成实验报告

四、实验研究与思考

1、设置电气规则检查的规则时应该注意哪些问题?

2、设置布局布线规则时应该注意哪些问题?

2、绘制印刷电路板时应改注意哪些问题?

3、如何印刷电路板的抗干扰性能?

实验四Max+plusII/QuartusII软件应用

一、实验目的

1、熟悉EDA开发平台的基本操作。

2、掌握EDA开发工具的图形设计方法。

3、掌握图形设计的编译与验证方法。

二、实验仪器

计算机、Max+plusII或QuartusII软件。

三、实验内容

1、建立一个4-bit 计数器图形设计文件(如图4.1示);

图 4.1 图形设计例图

2、对上述计数器进行功能和时间仿真,验证其功能并测试其最高工作频率。

3、利用向导创建一个新器件(6位全加器:使能、流水线等参数自行设定)。

4、自行设定实验步骤和设计纪录方式,完成实验报告

四、实验研究与思考

1、延迟时间分析、最高工作频率分析等时间分析有何重要性?

2、流水线的作用是什么?对那些性能有影响?

2、功能仿真、验证起到什么作用?

实验五VHDL软件设计

一、实验目的

1、熟悉EDA开发平台的基本操作。

2、掌握EDA开发工具的VHDL设计方法。

3、掌握硬件描述语言设计的编译与验证方法。

二、实验仪器

计算机、Max+plusII或QuartusII软件。

三、实验内容

1、24进制加法计数器设计与验证。

LIBRARY Ieee;

USE ieee.std_logic_1164.ALL;

USE ieee.std_logic_unsigned.ALL;

ENTITY count24 IS

PORT(en,clk: IN STD_LOGIC;

qa: out STD_LOGIC_VECTOR(3 DOWNTO 0);

--个位数计数

qb: out STD_LOGIC_VECTOR(1 DOWNTO 0));

--十位数计数

END count24;

ARCHITECTURE a1 OF count24 IS

BEGIN

process(clk)

variable tma: STD_LOGIC_VECTOR(3 DOWNTO 0);

variable tmb: STD_LOGIC_VECTOR(1 DOWNTO 0);

begin

if clk'event and clk='1' then

if en='1' then

if tma="1001" then tma:="0000";tmb:=tmb+1;

Elsif tmb="10" and tma="0011" then tma:="0000";

tmb:="00";

else tma:=tma+1;

end if;

end if;

end if;

qa<=tma;

qb<=tmb;

end process;

END a1;

2、修改以上程序获得60进制加法计数器并进行功能和时间仿真验证。

3、自行设定实验步骤和设计纪录方式,完成实验报告

四、实验研究与思考

1、比较图形设计和语言设计方法的差别和优缺点。

实验六3-8译码器

一、实验目的

1、掌握组合逻辑电路的设计方法。

2、掌握组合逻辑电路的静态测试方法。

3、初步掌握EDA软件的基本操作与应用。

4、初步了解可编程器件的设计全过程。

二、实验仪器

计算机、Max+plusII或QuartusII软件。

三、实验内容

1、新建一个设计工程;

2、输入并连接如图6.1所示原理图;

图61 3-8译码器原理图

3、选择目标器件(EP1C3ATC144-10);

4、编译与适配;

5、波形文件输入与设定,功能仿真与验证;

6、管脚锁定;

7、器件编程下载;

8、硬件测试;

9、采用VHDL语言描述以上3-8译码器,并重复以上4-8项;

9、自行设定实验步骤和设计纪录方式,完成实验报告

四、实验研究与思考

1、组合逻辑电路的设计应该注意什么问题?

实验七组合逻辑电路的VHDL描述

一、实验目的

1、掌握组合逻辑电路的设计方法。

2、掌握组合逻辑电路的静态测试方法。

3、熟悉FPGA设计的过程,比较原理图输入和文本输入的优劣。

二、实验仪器

计算机、Max+plusII或QuartusII软件。

三、实验内容

1、用VHDL语言设计一个四舍五入判别电路,其输入为8421BCD码,要求当输入大于或等于5时,判别电路输出为1,反之为0。参考电路原理图如图7.1所示。

图7.1 四舍五入判别参考电路

2、用VHDL语言设计四个开关控制一盏灯的逻辑电路,要求改变任意开关的状态能够引起灯亮灭状态的改变。(即任一开关的合断改变原来灯亮灭的状态,参考电路原理图如图7.2所示。)

图7.2 灯控参考电路

3、用VHDL语言设计一个优先排队电路(参考电路原理图如图7.3所示),其中:A=1,最高优先级;B=1,次高优先级;C=1,最低优先级。要求输出端最多只能有一端为―1‖,即只能是优先级较高的输入端所对应的输出端为―1‖。

图7.3 优先排队参考电路

4、自行设定实验步骤和设计纪录方式,完成实验报告

四、实验研究与思考

1、CPLD和FPGA有什么差别?设计中应该注意什么问题?

2、图形设计方法中采用LPM设计有什么好处?

实验八触发器的VHDL描述

一、实验的目的

1、掌握触发器功能的测试方法。

2、掌握基本RS触发器的组成及工作原理。

3、掌握集成JK触发器和D触发器的逻辑功能及触发方式。

4、掌握几种主要触发器之间相互转换的方法。

5、通过实验、体会CPLD芯片的高集成度和多I/O口。

二、实验仪器

计算机、Max+plusII或QuartusII软件。

三、实验内容

1、用VHDL语言设计基本RS触发器、同步RS触发器、J-K触发器、D触发器,并将这些触发器集成于一个电路(芯片)中(参考设计电路如图8.1所示),并研究其相互转换的方法。

图8.1 触发器设计参考电路

2、编译、仿真、管脚锁定和器件编成下载后进行硬件测试并纪录测试结果到下列各触发器的真值表中。

4

5、自行设定实验步骤和设计纪录方式,完成实验报告

四、实验研究与思考

1、时序电路的设计与组合电路的设计有何差别?

组合逻辑电路是输出只与当前的输入有关,而时序逻辑电路的输出不仅与当前的输入有关还与电路以前的状态有关。也就是说时序逻辑电路有记忆功能的元件,如各种触发器等。

2、时序电路的同步设计和异步设计有何不同?

3、异步设计的竞争冒险现象如何产生,应该如何克服竞争冒险现象?

实验九扫描显示驱动电路

一、实验目的

1、了解实验系统中8位八段数码管显示模块的工作原理;

2、掌握计数器和地址发生器的VHDL描述方法;

3、掌握LED数码管7段译码器的VHDL描述方法;

4、掌握编码器的VHDL描述方法;

5、设计标准扫描驱动电路模块,以备后面实验用。

二、实验仪器

计算机、Max+plusII或QuartusII软件、EDA试验箱。

三、实验内容

图9.1所示的是8位数码扫描显示电路,其中每个数码管的8个段:h、g、f、e、d、c、b、a(h是小数点)都分别连在一起,8个数码管分别由8个选通信号k1、k2、…k8来选择。被选通的数码管显示数据,其余关闭。如在某一时刻,k3为高电平,其0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 0000000000000平,这时仅k3对应的数码管显示来自段信号端的数据,而其它7个数码管呈现关闭状态。根据这种电路状况,如果希望在8个数码管显示希望的数据,就必须使得8个选通信号k1、k2、…k8分别被单独选通,并在此同时,在段信号输入口加上希望在该对应数码管上显示的数据,于是随着选通信号的扫变,就能实现扫描显示的目的。其VHDL示例程序如程序10.1所示。

图9.1 8位数码扫描显示电路图

1、实验内容1:理解程序中各语句的含义,以及该例的整体功能。对该例进行编辑、编译、综合、适配、仿真,给出仿真波形。

实验方式:若考虑小数点,SG的8个段分别与PIO49、PIO48、…、PIO42(低位在左)、BT的8个位分别与PIO34、PIO35、…、PIO41(低位在左);电路模式不限,引脚图参考附图11。将GW48EDA系统左下方的拨码开关全部向上拨,这时实验系统的8个数码管构成图10.1的电路结构,时钟CLK可选择clock0,通过跳线选择16384Hz信号。引脚锁定后进行编译、下载和硬件测试实验。将实验过程和实验结果写进实验报告。

2、实验内容2:修改例9.1的进程P1中的显示数据直接给出的方式,增加8个4位锁存器,作为显示数据缓冲器,使得所有8个显示数据都必须来自缓冲器。缓冲器中的数据可以通过不同方式锁入,如来自A/D 采样的数据、来自分时锁入的数据、来自串行方式输入的数据,或来自单片机等。

3、自行设定实验步骤和设计纪录方式,完成实验报告

【例9.1】

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY SCAN_LED IS

PORT ( CLK : IN STD_LOGIC;

SG : OUT STD_LOGIC_VECTOR(6 DOWNTO 0); --段控制信号输出

BT : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); --位控制信号输出END;

ARCHITECTURE one OF SCAN_LED IS

SIGNAL CNT8 : STD_LOGIC_VECTOR(2 DOWNTO 0);

SIGNAL A : INTEGER RANGE 0 TO 15;

BEGIN

P1:PROCESS( CNT8 ) --编码器

BEGIN

CASE CNT8 IS

WHEN "000" => BT <= "00000001" ; A <= 1 ;

WHEN "001" => BT <= "00000010" ; A <= 3 ;

WHEN "010" => BT <= "00000100" ; A <= 5 ;

WHEN "011" => BT <= "00001000" ; A <= 7 ;

WHEN "100" => BT <= "00010000" ; A <= 9 ;

WHEN "101" => BT <= "00100000" ; A <= 11 ;

WHEN "110" => BT <= "01000000" ; A <= 13 ;

WHEN "111" => BT <= "10000000" ; A <= 15 ;

WHEN OTHERS => NULL ;

END CASE ;

END PROCESS P1;

P2:PROCESS(CLK) --计数器

BEGIN

IF CLK'EVENT AND CLK = '1' THEN CNT8 <= CNT8 + 1;

END IF;

END PROCESS P2 ;

P3:PROCESS( A ) –-译码电路

BEGIN

CASE A IS

WHEN 0 => SG <= "0111111"; WHEN 1 => SG <= "0000110";

WHEN 2 => SG <= "1011011"; WHEN 3 => SG <= "1001111";

WHEN 4 => SG <= "1100110"; WHEN 5 => SG <= "1101101";

WHEN 6 => SG <= "1111101"; WHEN 7 => SG <= "0000111";

WHEN 8 => SG <= "1111111"; WHEN 9 => SG <= "1101111";

WHEN 10 => SG <= "1110111"; WHEN 11 => SG <= "1111100";

WHEN 12 => SG <= "0111001"; WHEN 13 => SG <= "1011110";

WHEN 14 => SG <= "1111001"; WHEN 15 => SG <= "1110001";

WHEN OTHERS => NULL ;

END CASE ;

END PROCESS P3;

END;

例9.1是扫描显示的示例程序,其中clk是扫描时钟;SG为7段控制信号,由高位至低位分别接g、f、e、d、c、b、a 7个段;BT是位选控制信号,接图5-20中的8个选通信号:k1、k2、…k8 。程序中CNT8是一个3位计数器,作扫描计数信号,由进程P2生成;进程P3是7段译码查表输出程序,与例5-18相同;进程P1是对8个数码管选通的扫描程序,例如当CNT8等于"001" 时,K2对应的数码管被选通,同时,A被赋值3,再由进程P3译码输出"1001111",显示在数码管上即为―3‖;当CNT8扫变时,将能在8个数码管上显示数据:13579BDF 。

四、实验研究与思考

1、字形编码的种类,即一个8段数码管可产生多少种字符,产生所有字符需多少根译码信号线?

2、字符显示亮度和扫描频率的关系,且让人感觉不出光烁现象的最低扫描频率是多少?

3、扫描显示和静态显示有什么差别?使用扫描显示有什么好处?

实验十VHDL硬件设计

-------计数器及时序电路描述

一、实验目的

1、了解时序电路的经典设计方法(JK触发器和一般逻辑门组成的时序逻辑电路)。

2、了解同步计数器,异步计数器的使用方法。

3、了解同步计数器通过清零阻塞法和预显数法得到循环任意进制计数器的方法。

4、理解时序电路和同步计数器加译码电路的联系,设计任意编码计数器。

5、了解同步设计和异步设计的区别。

二、实验仪器

计算机、Max+plusII或QuartusII软件、EDA试验箱。

三、实验内容

实验内容中的六个实验均要通过实验十的―扫描显示电路‖进行显示,具体连线根据每个实验内容完成时的管脚分配来定义,同相应的输入输出接口功能模块相连,扫描模块的设计参考实验十。

1、用JK触发器设计异步四位二进制加法计数器。8位LED数码管16进制显示扫描显示驱动电路设计,实验参考原理图如图10.1所示。其中,计数时钟频率CLK<2Hz;扫描时钟频率>40Hz;四位JK触发器接成异步计数器;SEL0~SEL2为扫描地址(控制八位数码管的扫描顺序和速度);A……G为显示译码输出,代表数码管的八个段位(a,b,c,d,e,f,g);八位数码管同时顺序显示0~F。

图10.1 计数器设计参考原理图

2、用74161两个宏连接成八位二进制同步计数器,实验参考原理图如图10.2所示。。将两个74161串接成典型的同步计数器;muxh14完成扫描数据切换;两位数码管同时显示00~FF。

图10.2 两位十六进制计数器设计参考原理图

3、用74390两个宏连接成八位十进制异步计数器。两位数码管扫描显示十进制00~99,实验参考原理图,如图10.3所示:

图10.3 两位十进制计数器设计参考原理图

4、用VHDL语言的状态机方法实现同步清零七进制计数器和用74161计数器加译码的方法实现异步清零七进制计数器,计数按0、2、

5、3、4、

6、1变化的七进制计时器,两种方法同时显示。实验参考原理图,

如图10.4所示:

图10.4 两位七进制计数器设计参考原理图

5、自行设定实验步骤和设计纪录方式,完成实验报告

四、实验研究与思考

1、说明在FPGA设计中,同步设计和异步设计的不同之处。

2、图形设计和VHDL语言设计编程各有什么优点,混合编程时应注意些什么问题?

3、应用状态机设计时序电路需要注意哪些问题?

实验十一数字钟

一、实验目的

1、掌握多位计数器相连的设计方法。

2、掌握十进制,六进制,二十四进制计数器的设计方法。

3、继续巩固多位共阴极扫描显示数码管的驱动,及编码。

4、掌握扬声器的驱动。

5、LED灯的花样显示。

6、掌握FPGA技术的层次化设计方法。

二、实验仪器

计算机、Max+plusII或QuartusII软件、EDA试验箱。

三、实验内容

1、要求

《EDA》实验指导书2013-6-1

辽东学院自编教材 《可编程逻辑器件原理及应用实验》指导书 李海成编 (计算机科学与技术、电子信息工程专业用) 姓名: 学号: 班级: 信息技术学院 2013年6月

目录 目录 (1) 实验一MAX+PLUS-II设计三八译码器......... 错误!未定义书签。实验二半加器 . (2) 实验三带进位输入的8位加法器 (4) 实验四数据比较器 (6) 实验五编码器 (9) 实验六组合逻辑电路的设计 (12) 实验七计数器 (14) 实验八触发器功能的模拟实现 (17)

(被加数)Ai (被加数)Bi (半加和)Hi (本位进位)Ci 实验二 半加器 实验类型: 验证性 实验课时: 2 指导教师: 李海成 时 间:201 年 月 日 课 次:第 节 教学周次:第 周 实验分室: 实验台号: 实 验 员: 一、 实验目的 1.设计并实验一个一位半加器 2.掌握CPLD/FPGA 组合逻辑设计基本方法。 二、 实验原理 计算机中数的操作都是以二进制进位的,最基本的运算就是加法运算。按照进位是否加入,加法器分为半加器和全加器电路两种。计算机中的异或指令的功能就是求两个操作数各位的半加和。一位半加器有两个输入、输出,如图2-1。 图2-1 一位半加器示意图 表2-1 一个半加大路的真值表如表2-1所示,根据真值表可得到半加器的函数表达式: Bi Ai Bi Ai Hi ?+?= Bi Ai Ci ?= 三、 实验连线 半加器的两个输入所对应的管脚同两位拨码开关相连,两个输入管脚名为a 、b ;两个输出所对应的管脚同两位发光二极管相连,两个输出管脚名为 c0和s,其中c0表示进位, s 表示相加结果。 四、

EDA实验指导书

实验一上机学习电路原理图的绘制(2) 一、设计目的 1. 掌握PROTEL软件的安装、运行及卸载,掌握Protel 99 SE的基本操作; 2. 掌握设计管理器的使用和设计环境的设置,熟悉常用元件库和各主要菜单及命令的使用; 3.学习电路原理图的基本绘图方法 二、设计内容 1.设置原理图的环境参数,添加相应的元件库文件 2.绘制课本P92页的一个D/A功能模块电路图,其中由一片12位的D/A、两片运放、一些电阻和电容组成 图1-1 实验1电路原理图实例 三、设计设备和仪器 1.计算机 1 台(CPU要求Pentium 166MHz以上,推荐内存应为16MB以上,显示器分辨率为800×600(或1024×768)模式。) 2.Protel 99SE 软件 四、设计方法 根据电路图加载相应的元件库文件,然后选择放置电子元件,编辑各元件并精确调整元件位置。对放置好的元件根据例图连接导线,绘制总线和总线出入端口,放置网络标号及电源和输入输出端口。最后放置注释文字。 五、实验步骤 (1)新建名为自己学号姓名的设计数据库 点击“NEW新建”新建数据库文件 在上图所示的选项栏里设置名为自己姓名学号的数据库文件 (2)建立名为自己姓名的原理图文件

点击上图所示图标建立名为自己姓名的原理图文件(3)进入原理图设计环境,修改文件名并修改图纸大小为A4 点击下图中“Options”选项设置图纸大小 (4)加载常用元件库 (5)从元件库中选出需用元件放在原理图设计工作面上 (6)利用绘图工具对所有元器件进行连线 最终原理图如图所示。 六、设计报告 1.明确实验目的和实验要求; 2.写出详细的实验内容和步骤; 3.写出实验中遇到的问题及改正的方法 七、注意事项 熟悉绘图工具的功能和用法是绘制好电路原理图的关键。

EDA实验指导书

实验一 MAX+PLUSII软件的使用 [实验目的] 掌握MAX+PLUSII软件的使用。 [实验内容] 学习MAX+PLUSII软件的设计操作步骤。 [实验原理] MAX+PLUSII软件介绍。 MAX+PLUSII软件功能简介: 1 原理图输入(Graphic Editor) MAX+PLUSII软件具有图形输入能力,用户可以方便的使用图形编辑器输入电路图,图中的元器件可以调用元件库中元器件,除调用库中的元件以外,还可以调用该软件中的符号功能形成的功能块。 2 硬件描述语言输入(Text Editor) MAX+PLUSII软件中有一个集成的文本编辑器,该编辑器支持VHDL,AHDL和Verilog硬件描述语言的输入,同时还有一个语言模板使输入程序语言更加方便,该软件可以对这些程序语言进行编译并形成可以下载配置数据。 3 波形编辑器(waveform Editor) 在进行逻辑电路的行为仿真时,需要在所设计电路的输入端加入一定的波形,波形编辑器可以生成和编辑仿真用的波形(*.SCF文件),使用该编辑器的工具条可以容易方便的生成波形和编辑波形。 4 编译与仿真 当设计文件被编译好,并在波形编辑器中将输入波形编辑完毕后,就可以进行行为仿真了,通过仿真可以检验设计的逻辑关系是否准确。 5 器件编程 当设计全部完成后,就可以将形成的目标文件下载到芯片中,实际验证设计的准确性。[实验步骤] 设计过程如下: 1)输入项目文件名(File/Project/Name) 2)输入源文件(图形、VHDL、AHDL、Verlog和波形输入方式) (Max+plusⅡ/graphic Editor, Max+plusⅡ/Text Editor, Max+plusⅡ/Waveform Editor) 3)指定CPLD型号(Assign/Device) 4)设置管脚、下载方式和逻辑综合的方式 (Assign/Global Project Device Option,Assign/Global Logic Synthesis) 5)保存并检查源文件(File/project/Save & Check) 6)指定管脚(Max+plusⅡ/Floorplan Editor) 7)保存和编译源文件(File/project/Save & Compile) 8)生成波形文件(Max+plusⅡ/Waveform Editor) 9)仿真(Max+plusⅡ/Simulator) 10)下载配置(Max+plusⅡ/Programmer) [实验报告要求] 不做要求。 实验二简单组合逻辑电路设计 [实验目的] 1 通过本实验提供的实例,掌握组合逻辑电路的设计方法。

09EDA实验指导书

EDA实验指导书

目录 实验一基于QUARTUSII图形输入电路的设计 (2) 实验二含异步清零和同步使能的加法计数器 (5) 实验三图形和VHDL混合输入的电路设计 (7) 实验四矩阵键盘接口电路的设计 (10) 实验五交通灯控制电路实验 (16) 附图EP1K10TC100管脚图 (24) 主芯片:ACEX 1K 系列的EP1K10TC100-3 下载电缆:Byte Blaster II

实验一基于QUARTUSII图形输入电路的设计 一、实验目的 1、通过一个简单的3线—8线译码器的设计,掌握组合逻辑电路的设计方法。 2、初步了解QUARTUSII原理图输入设计的全过程。 3、掌握组合逻辑电路的静态测试方法。 二、实验原理 3线-8线译码器三输入,八输出。当输入信号按二进制方式的表示值为N时,输出端标号为N的输出端输出高电平表示有信号产生,而其它则为低电平表示无信号产生。因为三个输入端能产生的组合状态有八种,所以输出端在每种组合中仅有一位为高电平的情况下,能表示所有的输入组合。其真值表如表1-1所示 输入输出 D2 D1 D0 Y7 Y6 Y5 Y4 Y3 Y2 Y1 Y0 0 0 0 0 0 0 0 0 0 0 1 0 0 1 0 0 0 0 0 0 1 0 0 1 0 0 0 0 0 0 1 0 0 0 1 1 0 0 0 0 1 0 0 0 1 0 0 0 0 0 1 0 0 0 0 1 0 1 0 0 1 0 0 0 0 0 1 1 0 0 1 0 0 0 0 0 0 1 1 1 1 0 0 0 0 0 0 0 表1-1 3线-8线译码器真值表 译码器不需要像编码器那样用一个输出端指示输出是否有效。但可以在输入中加入一个输出使能端,用来指示是否将当前的输入进行有效的译码,当使 能端指示输入信号无效或不用对当前信号进行译码时,输出端全为高电平,表 示无任何信号。本例设计中没有考虑使能输入端,自己设计时可以考虑加入使 能输入端时,程序如何设计。 三、实验内容 在本实验中,用三个拨动开关来表示3线-8线译码器的三个输入(D2-D0);用

EDA实验指导书

ED心验指导书齐鲁理工学院

目录 实验一Protel DXP 2004认识实验 0 实验二两级阻容耦合三极管放大电路原理图设计 0 实验三原理图元件库建立与调用 (2) 实验四两级阻容耦合三极管放大电路PCB图设计............................ .4实验五集成电路的逻辑功能测试.. (6) 实验六组合逻辑电路分析与设计............................................... 1.1实验七Quartus II的使用 ................................................. 1.6实验八组合逻辑器件设计. (16) 实验九组合电路设计 (24)

实验一Protel DXP 2004 认识实验 一、实验目的 1. 掌握Protel DXP 2004的安装、启动和关闭。 2. 了解Protel DXP 2004主窗口的组成和各部分的作用。 3. 掌握Protel DXP 2004工程和文件的新建、保存、打开。 二、实验内容与步骤 1、Protel_DXP_2004 的安装 (1) 用虚拟光驱软件打开Protel_DXP_2004.iso 文件 (2) 运行setup\Setup.exe 文件,安装Protel DXP 2004 (3) 运行破解程序后,点击导入模版”,先导入一个ini文件模版(如果要生成单机版的License选择Unified Nexar-Protel License.ini;要生成网络版的License选择Unified Nexar-Protel Network License.ini ),然后修改里面的参数:TransactorName=Your Name (将"Your Name替换为你想要注册的用户名);SerialNumber=0000000 (如果你只有一台计算 机,那么这个可以不用修改,如果有两台以上的计算机且连成局域网,那么请保证每个License文件中的SerialNumber=为不同的值。修改完成后点击生成协议文件",任意输入一 个文件名(文件后缀为.alf)保存,程序会在相应目录中生成1个License文件。点击替换密钥”,选取DXP.exe (在DXP 2004安装目录里,默认路径为),程序会自动替换文件中的公开密钥。将前面生成的License文件拷贝至DXP 2004安装目录里(默认路径为)授权完成。 (4) 打开Protel 在左上角DXP 菜单下的Preference 菜单项里,选中Use localize resources后关闭Protel_DXP_2004 ,重新打开软件变为简体中文版本。 2、Protel_DXP_2004 的卸载 卸载Protel_DXP_2004的具体步骤如下: (1) 在Windows的“开始”菜单中选择“设置/控制面板”,然后在控制面板中选择“添加/删除程序”选项,将弹出对话框。从中选择DXP 2004应用软件。 (2) 单击删除”按钮,将弹出对话框,询问用户是否真的要删除程序。 (3) 单击“是”按钮,开始卸载。在卸载过程中,若想终止卸载,可单击“取消”按

EDA实验指导书new_Quartus2

EDA技术实验手册及程序代码 物理与信息项目学院 学号:111000228 姓名:汪艺彬 注意事项 1、本实验手册是为了配合《EDA技术实用教程》,作为本课程实验环节的补充 指导而编制。 2、实验中涉及的QuartusⅡ软件的使用请参考 《EDA技术实用教程》中有关章节。 手册中所有的虚线空白框,都留出来作为实验记录之用,每个实验完成后,应按照实验内容的要求将实验结果记入框中。 4、每个实验后面都附有一道思考题,完成实验内容后可以作为更进一步的练习 。 5、每次实验后将手册相关部分<完成实验结果记录)和实验源代码<.vhd文件) 一起,作为实验报告上交。 6、课程结束后请将所有报告按顺序加封面装订好上交,作为实验部分成绩计入 总成绩。 实验一利用原理图输入法设计4位全加器一、实验目的: 熟悉如何在QuartusⅡ集成环境下利用原理图输入设计简单组合逻辑电路,掌握层次化的电路设计方法。 二、实验原理: 一个4位全加器可以由4个一位全加器构成,加法器间的进位可以串行方式实现,即将低位加法器的进位输出cout与相邻的高位加法器的进位输入信号cin相接。 三、实验内容: 1.QuartusII软件的熟悉

熟悉QuartusⅡ环境下原理图的设计方法和流程,可参考课本5.4节的内容,重点掌握层次化的设计方法。 2.设计1位全加器原理图 设计的原理图如下所示 3.利用层次化原理图方法设计4位全加器 <1)生成新的空白原理图,作为4位全加器设计输入 <2)利用已经生成的1位全加器作为电路单元,设计4位全加器的原理图,如下所示 4、设计一个超前进位4位全加器 以上设计的全加器是基于串行进位的结构,高位的进位输入必须等待低位的运算结果,造成较长的延时。通过对进位位进行超前运算,可以缩短这部分的延时。 在已有1位全加器的基础上设计一个具有超前进位结构的4位全加器,原理图如下所示 5、完成设计流程

EDA实验箱实验指导书

实验二流水灯 1.实验目的 通过本实验让学生进一步了解、熟悉和掌握CPLD/FPGA开发软件的使用方法及VHDL 语言的编程方法;学习简单的时序电路的设计和硬件测试。 2.实验内容 本实验的内容是控制实验箱上的发光二极管LED1—LED8,使之实现流水灯显示。3.实验原理 在LED1~LED8引脚上周期性地输出流水数据,即输出的数据依次为11111111、11111110、11111100、11111000、11110000、11100000、11000000、10000000、00000000,如此循环显示,输出数据“0”,表示点亮相应的LED小灯。为了方便观察,流水的速率控制在2Hz左右。在核心板上有一个48MHz的标准时钟源,该时钟源与芯片EP2C5的23脚相连。为了产生2Hz的时钟源,在此调用了分频模块int_div。 4.实验步骤 (1)启动Quartus II,建立一个空白工程,然后命名为led_waterflow.qpf。 (2)新建ledwater.vhd源程序文件,源代码如下。然后进行综合编译。若在编译过程中发现错误,则找出并更正错误,直到编译成功为止。生产符号文件ledwater.bsf (File→ Create/_Update → Create Symbol Files for Current File)。 流水灯程序参考 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_Arith.ALL; USE IEEE.STD_LOGIC_Unsigned.ALL; ENTITY ledwater IS PORT( clk: IN STD_LOGIC; led: OUT STD_LOGIC_VECTOR(7 DOWNTO 0) );END; ARCHITECTURE one OF ledwater IS SIGNAL led_r:STD_LOGIC_VECTOR(8 DOWNTO 0); BEGIN led<=led_r(7 DOWNTO 0); PROCESS(clk) BEGIN IF clk’event and clk=’1’ THEN led_r<=led_r(7 DOWNTO 0) & '0'; IF led_r="000000000" THEN --循环完毕吗? led_r<="111111111"; --是,则重新赋初值 END IF; END IF; END PROCESS; END; (3)将实验模块库里的int_div.vhd和int_div.bsf拷贝到工程目录下。

EDA实验指导书1

EDA实验指导书 天津大学仁爱学院 2011年9月30日

目录 1.实验一LED实验 (验证性实验) 2.实验二LED点阵实验 (综合性实验) 3.实验三LCD显示实验 (设计性实验)

实验一:LED实验 一、实验目的 1.熟悉ISE8.2开发环境,掌握工程的生成方法; 2.熟悉SEED-XDTK_V4实验环境; 二、实验内容 1.创建工程; 2.添加HDL资源文件; 3.配置一个应用程序完成设计。 三、实验准备 1.通过USB口下载电缆将计算机的USB口及SEED-FEM025板的J9连接好; 2.启动计算机,打开SEED-XDTK_V4实验箱电源开关。观察SEED-FEM025板上的+ 5V(D11)的电源指示灯是否均亮。若有不亮的,请断开电源,检查电源。 四、实验步骤 1.创建工程 1)双击桌面Xilinx ISE8.2快捷方式打开ISE工程管理器(Project Navigator); 2)打开Project Navigator后,选择File→New Project,弹出新建工程对话框; 3)在工程路径中单击“…”按钮,将工程指定到如下目录D:\02.V4_lab,单击确定; 4)在工程名称中输入led,点击Next按钮,如图1.1所示; 图1.1 5)弹出器件特性对话框。器件族类型(Device Family)选择“Virtex4”,器件型号(Device) 选“XC4VSX25FF668-10”,综合工具(Synthesis Tool)选“XST(VHDL/Verilog)”,仿真器(Simulator)选“ISE Simulator”,如图1.2;

EDA实验指导书全(Verilog版)

EDA实验指导书 熊利祥编 武汉理工大学华夏学院

2011年9月

前言 一、实验课目的 EDA实验课是电子工程类专业教学中重要的实践环节,包括了ISE开发环境基本操作及Verilog语言、组合逻辑电路设计、流水灯设计、计数器设计、扫描显示电路的驱动、综合层次性实验——交通灯或数字秒表设计实验。要求学生通过实验学会正确使用EDA技术,掌握FPGA器件的开发,熟练使用ISE开发环境,掌握Verilog 语言的编程,掌握数字电路和系统的设计。 通过实验,使学生加深对课堂专业教学内容的理解,培养学生理论联系实际的能力,实事求是,严谨的科学作风,使学生通过实验结果,利用所学的理论去分析研究EDA技术。培养学生使用EDA实验设备的能力以及运用实验方法解决实际问题的能力。 二、实验要求: 1.课前预习 ①认真阅读实验指导书,了解实验内容; ②认真阅读有关实验的理论知识; ③读懂程序代码。 2.实验过程 ①按时到达实验室; ②认真听取老师对实验内容及实验要求的讲解; ③认真进行实验的每一步,观察程序代码与仿真结果是否相符; ④将实验过程中程序代码和仿真结果提交给老师审查; ⑤做完实验后,整理实验设备,关闭实验开发板电源、电脑电源后方可离开。 3.实验报告 ①按要求认真填写实验报告书; ②认真分析实验结果; ③按时将实验报告交给老师批阅。

三、实验学生守则 1.保持室内整洁,不准随地吐痰、不准乱丢杂物、不准大声喧哗、不准吸烟、不准吃东西; 2.爱护公务,不得在实验桌及墙壁上书写刻画,不得擅自删除电脑里面的文件; 3.安全用电,严禁触及任何带电体的裸露部分,严禁带电接线和拆线; 4.任何规章或不按老师要求操作造成仪器设备损坏须论价赔偿。

EDA实验指导书_新2014(新)印刷

淮阴工学院EDA技术实验指导书 编者:叶小婷 电子与电气工程学院 2014年6月7日

目录 实验一基于QUARTUSII 图形输入电路的设计 (1) 实验二基于VHDL 格雷码编码器的设计 (16) 实验三含异步清零和同步使能的加法计数器 (18) 实验四八位七段数码管动态显示电路的设计 (20) 实验五数控分频器的设计 (22) 实验六图形和VHDL 混合输入的电路设计 (23) 实验七四位并行乘法器的设计 (26) 实验八基本触发器的设计 (28) 实验九四位全加器设计 (30) 实验十矩阵键盘显示电路的设计 (32) 实验十一用VHDL 设计七人表决器 (35) 实验十二用VHDL 设计四人抢答器 (37) 实验九熟悉PROTEL99环境 (39) 实验十原理图设计 (42) 实验十一元件制作与网络表操作 (44) 实验十二印刷电路板设计 (47) 附录一实验箱常用管脚分配表 (49) 附录二参考程序 (51)

实验一基于QUARTUSII 图形输入电路的设计 一、实验目的 1.通过一个简单的3—8译码器的设计,掌握组合逻辑电路的设计方法。 2.初步了解QUARTUSII 原理图输入设计的全过程。 3.掌握组合逻辑电路的静态测试方法。 二、实验设备 1.PC机一台; 2.Altera Blaster下载器一根; 3.THGSC-3型实验箱一台。 三、实验原理 3-8译码器三输入,八输出。当输入信号按二进制方式的表示值为N时,输出端标号为N 的输出端输出高电平表示有信号产生,而其它则为低电平表示无信号产生。因为三个输入端能产生的组合状态有八种,所以输出端在每种组合中仅有一位为高电平的情况下,能表示所有的输入组合。 译码器不需要像编码器那样用一个输出端指示输出是否有效。但可以在输入中加入一个输出使能端,用来指示是否将当前的输入进行有效的译码,当使能端指示输入信号无效或不用对当前信号进行译码时,输出端全为高电平,表示无任何信号。本例设计中没有考虑使能输入端,自己设计时可以考虑加入使能输入端时,程序如何设计。 四、实验容 在本实验中,用三个拨动开关(SW1~SW3)来表示三八译码器的三个输入(A、B、C);用八个LED 来表示三八译码器的八个输出(D1~D8)。通过输入不同的值来观察输入的结果与三八译码器的真值表是否一致。实验箱中的拨动开关,当开关闭合(拨动开关的档位在下方)时其输出为低电平,反之输出高电平。实验箱中的拨动开关与FPGA 的接口电路,LED 灯与FPGA 的接口电路以及拨动开关、LED 与FPGA 的管脚连接在用户手册中都做了详细说明,这里不再赘述。 五、实验步骤 下面将通过这个实验,向读者介绍QUARTUSII 的项目文件的生成、编译、管脚分配以及时序仿真等的操作过程。 1.建立工程文件 1)选择“开始>程序>Altera>QuartusII 9.0”,运行QUARTUSII 软件。或者双击桌面上的QUARTUSII 的图标运行QUARTUSII 软件,出现如图1-1 所示,如果是第一次打开QUARTUSII 软件可能会有其它的提示信息,使用者可以根据实际情况进行设定后进入图1-1 所示界面。 2)选择软件中的,新建一个工程。如图1-2所示。 3)点击图1-2 中的Next 进入工作目录,工程名的设定对话框如图1-3 所示。第一个输入框为工程目录输入框,用户可以输入如e:/eda 等工作路径来设定工程的目录,设定好后,所有的生成文件将放入这个工作目录。第二个输入框为工程名称输入框,第三个输入框为顶层实体名称输入框。用户可以设定如exp1,一般情况下工程名称与实体名称相同。使用者也可以根据自已的实际情况来设定。

FPGA设计实验指导书(2013)

《FPGA设计》实验指导书

安全操作注意事项 1、接插下载电缆前,请务必关闭实验箱开关,避免损坏下载电缆或实验箱器件。 2、操作过程中应防止静电。 3、保持实验箱和电路板的表面清洁。 4、小心轻放,避免不必要的硬件损伤或者人身受伤。 实验箱简介

实验一简单组合逻辑设计 一、实验目的和任务 1、熟习Quartus II软件的使用; 2、掌握用原理图输入法和硬件描述语言(Verilog HDL)两种方法来设计逻 辑电路; 3、通过电路的仿真及验证,进一步了解4选1数据选择器的功能; 二、实验内容 1、用原理图输入法来设计4选1数据选择器 参照按图1-1所示来编辑完成4选1数据选择器的原理图输入,其中a、b、c、d 为数据输入端,sel[1]、sel[0]为控制输入端,q为4选1数据输出端。存盘仿真后,观察仿真波形,以验证数据选择器的功能。 图1-1 4选1数据选择器原理图 2、用Verilog HDL硬件描述语言来设计4选1数据选择器 用QuartusII中的文本编辑器,编辑输入4选1数据选择器源程序:module m41( a, b, c, d, sel, q); input a,b,c,d; input [1:0]sel; output q; reg q; always @( sel) case(sel) 2’b00: q=a; 2’b01: q=b;

2’b11: q=d; endcase endmodule 程序中的a 、b 、c 、d 依然为数据输入端,sel[1]、sel[0]为控制输入端,q 为4选1数据输出端。同样存盘后进行仿真,并观察仿真波形,以验证数据选择器的功能。 三、实验仪器、设备及材料 电脑、EDA 软件、实验箱、下载电缆。 四、实验原理 4选1数据选择器的原理框图及真值表如图1-2及表1-1所示,sel[1:0]可能出现四种组合情况: 00 01 10 11,它分别对应选通四个不同的数据输入a 、b 、c 、d ,从q 端输出。结合以前所学数字电路的知识,可由真值表得出利用“与非门”实现的逻辑电路,进而可用QuartusII 原理图输入方法,设计出该4选1数据选择器;如应用EDA 技术所学的Verilog HDL 硬件描述语言来描述该电路功能,即可设计出该4选1数据选择器的源程序。 图1-2 4选1数据选择器的原理框图 q Sel[1]输出 选择输入 0a 01b 00 c 11 d 1 Sel[0]表1-1 真值表 五、重点、难点 d a b c

EDA技术与VHDL实验指导书

EDA技术与HDL 实验指导书 吉林大学珠海学院 二零一一年制定

目录 实验一:实验环境和平台的建立 (1) 实验二:组合逻辑电路设计 (12) 实验三:多层次设计 (14) 实验四:时序逻辑电路设计(一) (18) 实验五:时序逻辑电路设计(二) (20) 实验六:分频器的设计 (22) 实验七:通用移位寄存器的设计 (23) 实验八:数码管扫描显示的设计 (24) 实验九:正弦信号发生器的设计 (26) 实验十:序列检测器的设计 (36)

实验一:实验环境和平台的建立 一、实验目的: 熟悉Quartus II的VHDL文本设计流程,学习8-3编码器的设计、仿真。二、实验内容: 用VHDL编写8-3编码器的VHDL代码并仿真。 三、实验环境 PC 机(Pentium100 以上)、Altera Quartus II 6.0 CPLD/FPGA 集成开环境。 四、实验原理 在数字系统中,常常需要将某一信息(输入)变换为某一特定的代码(输出)。把二进制码按一定的规律排列,例如8421码、格雷码等,使每组代码具有一特定的含义(代表某个数字或是控制信号)称为编码。具有编码功能的逻辑电路称为编码器。编码器有若干个输入,在某一时刻只有一个输入被转换为二进制码。例如8线-3线编码器和10线-4线编码器分别有8输入、3位输出和10位输入、4位输出。8线-3线编码器的真值表见表1-1,管脚图如图1-1所示。 输入输出 A7 A6 A5 A4 A3 A2 A1 A0 Y2 Y1 Y0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 0 1 0 0 0 1 0 0 0 0 0 1 0 0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 0 0 0 1 0 0 0 0 0 1 0 1 0 1 0 0 0 0 0 0 1 1 0 1 0 0 0 0 0 0 0 1 1 1 表1-1 8-3编码器真值表 图1-1 8-3编码器管脚图 五、实验步骤

最新EDA实验指导书汇总

E D A实验指导书

实验一 MAX+PLUSII软件的使用 [实验目的] 掌握MAX+PLUSII软件的使用。 [实验内容] 学习MAX+PLUSII软件的设计操作步骤。 [实验原理] MAX+PLUSII软件介绍。 MAX+PLUSII软件功能简介: 1 原理图输入(Graphic Editor) MAX+PLUSII软件具有图形输入能力,用户可以方便的使用图形编辑器输入 电路图,图中的元器件可以调用元件库中元器件,除调用库中的元件以外,还可以调用该软件中的符号功能形成的功能块。 2 硬件描述语言输入(Text Editor) MAX+PLUSII软件中有一个集成的文本编辑器,该编辑器支持VHDL,AHDL和Verilog硬件描述语言的输入,同时还有一个语言模板使输入程序语言更加方便,该软件可以对这些程序语言进行编译并形成可以下载配置数据。 3 波形编辑器(waveform Editor) 在进行逻辑电路的行为仿真时,需要在所设计电路的输入端加入一定的波形,波形编辑器可以生成和编辑仿真用的波形(*.SCF文件),使用该编辑器 的工具条可以容易方便的生成波形和编辑波形。 4 编译与仿真 当设计文件被编译好,并在波形编辑器中将输入波形编辑完毕后,就可以进行行为仿真了,通过仿真可以检验设计的逻辑关系是否准确。 5 器件编程

当设计全部完成后,就可以将形成的目标文件下载到芯片中,实际验证设计的准确性。 [实验步骤] 设计过程如下: 1)输入项目文件名(File/Project/Name) 2)输入源文件(图形、VHDL、AHDL、Verlog和波形输入方式) (Max+plusⅡ/graphic Editor, Max+plusⅡ/Text Editor, Max+plusⅡ /Waveform Editor) 3)指定CPLD型号(Assign/Device) 4)设置管脚、下载方式和逻辑综合的方式 (Assign/Global Project Device Option,Assign/Global Logic Synthesis) 5)保存并检查源文件(File/project/Save & Check) 6)指定管脚(Max+plusⅡ/Floorplan Editor) 7)保存和编译源文件(File/project/Save & Compile) 8)生成波形文件(Max+plusⅡ/Waveform Editor) 9)仿真(Max+plusⅡ/Simulator) 10)下载配置(Max+plusⅡ/Programmer) [实验报告要求] 不做要求。 实验二简单组合逻辑电路设计 [实验目的] 1 通过本实验提供的实例,掌握组合逻辑电路的设计方法。 2 初步了解PLD设计的全过程和相关软件的使用。

EDA实验指导书

实验一组合逻辑电路设计 一、实验目的 1、通过一个简单的4选1的设计,让学生掌握QUARTUSII设计工具进行电子设计的基本流程。 2、初步了解可编程器件设计的全过程。 二、主要仪器设备 EDA实验系统一台,PC一台 三、实验步骤 1、建立工程文件 1)选择开始>程序>Altera>QuartusII13.1,运行QUARTUSII软件。或者双击桌面上 的QUARTUSII的图标运行QUARTUSII软件,出现如图1-3所示,如果是第一次打开QUARTUSII软件可能会有其它的提示信息,使用者可以根据自己的实际情况进行设定后进入图1-1所示界面。 图1-1 QUARTUSII软件运行界面 2)选择软件中的菜单File>New Project Wizard,新建一个工程。如图1-2所示。 3)点击图1-2中的NEXT进入工作目录,工程名的设定对话框如图1-3所示。第一个输入框为工程目录输入框,用户可以输入如e:/altera/work等工作路径来设定工程的目录,设定好后,所有的生成文件将放入这个工作目录。第二个输入框为工程名称输入框,第三个输入框为顶层实体名称输入框。用户可以设定如MUX41a,一般情况下工程名称与实体名称相同。使用者也可以根据自已的实际情况来设定。

图1-2 新建工程对话框 图1-3 指定工程名称及工作目录 4)点击NEXT,进入下一个设定对话框,按默认选项直接点击NEXT进行器件选择对话框。如图1-4所示。这里我们以选用Cyclone系列芯片EP5CSEMA5F31为例进行 介绍。用户可以根据使用的不同芯片来进行设定,其方法基本一致。

图1-4 器件选择界面 首先在对话框的左上方的Family下拉菜单中选取Cyclone V(E/GX/GT/SX/SE/ST),在中间右边的Speed grade下拉菜单中选取6,在左下方的Available devices框中选取EP5CSEMA5F31C6,点击NEXT完成器件的选取,进入EDA TOOL设定界面如图1-5 所示。 图1-5 EDA TOOL对话框 5)按默认选项,点击NEXT出现新建工程以前所有的设定信息,如图1-6所示,点 击FINISH完成新建工程的建立。

EDA技术实验指导书

《EDA技术》实验指导书 面向专业:通信工程 信息工程 自动化 电子信息工程 电气工程及其自动化 信息与通信工程学院 2016年9月

前言 一、课程性质 本课程是电子信息工程、通信工程、信息工程和自动化专业必修的专业实验课程。通过本课程的教学,使学生掌握EDA技术的开发流程,学会利用以硬件描述语言为描述工具,以可编程逻辑器件为实现载体,在数字系统设计领域熟练应用EDA技术,使其具备研究和开发现代数字系统的能力。 二、专业安排 本系统分为多个模块,适合通信工程、信息工程、自动化、电子信息工程、电气工程及其自动化等专业使用。 三、本书特点 本实验指导书的特点是引入工程项目机制来管理实验项目,着重培养学生的方案设计、算法分析和现场调试能力,为培养卓越工程师打下坚实的基础。

目录 前言............................................................................................................................. I 第一章实验系统.. (1) 1.1 系统整体结构 (1) 1.2 核心板 (1) 1.3 基础扩展模块 (2) 1.4 自动控制模块 (3) 1.5 信号处理模块 (3) 1.6 通信接口模块 (4) 第二章开发平台简介 (5) 2.1 Quartus II简介 (5) 2.2 Quartus II开发流程 (5) 第三章实验项目 (9) 实验1 平台应用及全加器设计 (9) 实验2 信号发生器设计 (11) 实验3 数字电压表设计 (13) 实验4 数字频率计设计 (16) 实验5 交通灯控制器设计 (19)

EDA实验指导书

目录 实验一Protel DXP 2004认识实验 0 实验二两级阻容耦合三极管放大电路原理图设计 0 实验三原理图元件库建立与调用 (2) 实验四两级阻容耦合三极管放大电路PCB图设计 (4) 实验五集成电路的逻辑功能测试 (6) 实验六组合逻辑电路分析与设计 (11) 实验七Quartus II 的使用 (16) 实验八组合逻辑器件设计 (16) 实验九组合电路设计 (24)

实验一 Protel DXP 2004 认识实验 一、实验目的 1.掌握Prot e l DXP 2004 的安装、启动和关闭。 2.了解Protel DXP 2004 主窗口的组成和各部分的作用。 3.掌握Prot e l DXP 2004 工程和文件的新建、保存、打开。 二、实验内容与步骤 1、Protel_DXP_2004 的安装 (1)用虚拟光驱软件打开Protel_DXP_2004.iso 文件 (2)运行setup\Setup.exe 文件,安装Protel DXP 2004 (3) 运行破解程序后,点击“导入模版”,先导入一个ini文件模版(如果要生成单机版的License选择Unified Nexar-Protel License.ini;要生成网络版的License选择Unified Nexar-Protel Network License.ini),然后修改里面的参数:TransactorName=Your Name(将“Your Name”替换为你想要注册的用户名);SerialNumber=0000000(如果你只有一台计算机,那么这个可以不用修改,如果有两台以上的计算机且连成局域网,那么请保证每个License文件中的SerialNumber=为不同的值。修改完成后点击“生成协议文件”,任意输入一个文件名(文件后缀为.alf)保存,程序会在相应目录中生成1个License文件。点击“替换密钥”,选取DXP.exe(在DXP 2004安装目录里,默认路径为C:\Program Files\Altium2004\),程序会自动替换文件中的公开密钥。将前面生成的License文件拷贝至DXP 2004安装目录里(默认路径为C:\Program Files\Altium2004\)授权完成。 (4)打开Protel 在左上角DXP 菜单下的Preference 菜单项里,选中Use localize resources 后关闭Protel_DXP_2004,重新打开软件变为简体中文版本。 2、Protel_DXP_2004 的卸载 卸载Protel_DXP_2004 的具体步骤如下: (1)在Windows 的“开始”菜单中选择“设置/控制面板”,然后在控制面板中选择“添加/删除程序”选项,将弹出对话框。从中选择DXP 2004 应用软件。 (2)单击删除”按钮,将弹出对话框,询问用户是否真的要删除程序。 (3)单击“是”按钮,开始卸载。在卸载过程中,若想终止卸载,可单击“取消”按钮。

EDA实验指导书(vhdl)

实验一 半加器和全加器的设计 一、 实验目的 1、掌握图形的设计方式; 2、掌握自建元件及调用自建元件的方法; 3、熟练掌握MAXPLUS II 的使用。 二、实验内容 1、熟练软件基本操作,完成半加器和全加器的设计; 2、正确设置仿真激励信号,全面检测设计逻辑; 3、综合下载,进行硬件电路测试。 三、实验原理 1、半加器的设计 半加器只考虑了两个加数本身,没有考虑由低位来的进位。 半加器逻辑表达式:B A B A B A S ⊕=+=;AB C = 2.全加器的设计 全加器除考虑两个加数外,还考虑了低位的进位。

0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 全加器逻辑表达式: 1-⊕⊕=i i i i C B A S ;AB C B A C i i i i +⊕=-1)( 3、利用半加器元件完成全加器的设计 (1)图形方式 其中HADDER 为半加器元件。 四、实验步骤 1、完成图形半加器设计。 2、完成VHDL 半加器设计与仿真(记录仿真波形)。 3、完成VHDL 全加器设计与仿真(记录仿真波形)。 4、利用半加器元件进行图形的全加器设计。 五、思考题: 1、怎样自建元件?自建元件的调用要注意什么?

实验二二位加法计数器的设计 一、实验目的 1、掌握二位加法计数器的原理; 2、掌握二位加法计数器的VHDL描述。 3、深入理解VHDL中元件例化的意义。 二、实验内容 1、完成带进位功能二位加法计数器的VHDL设计; 2、正确设置仿真激励信号,全面检测设计逻辑; 3、综合下载,进行硬件电路测试。 三、实验原理 1、二位加法计数器中使用了矢量类型的数据,用来表示计数的数值。 2、元件的例化就是元件的调用,是层次化设计的基础。 具体设计程序由学生自己完成。 四、实验步骤 1、了解二位加法计数器的工作原理。 2、用VHDL文本方式设计二位加法计数器。 3、进行二位加法计数器的设计仿真(记录仿真波形)。 4、进行二位加法计数器的设计下载与测试。 五、思考题 1、怎样设计“减法”计数器? 2、进位信号的设置应注意什么?

EDA实验指导书2015分析

实验一 半加器的设计 一、 实验目的 1、掌握简单组合电路的设计; 2、掌握CASE 语句的应用方法; 3、掌握真值表到VHDL 的综合; 4、熟练掌握MAXPLUS II 的使用。 二、实验内容 1、熟练软件基本操作,完成半加器的设计; 2、正确设置仿真激励信号,全面检测设计逻辑; 三、实验原理 1、半加器的设计 半加器只考虑了两个加数本身,没有考虑由低位来的进位。 半加器逻辑表达式:B A B A B A S ⊕=+=;AB C = 2、利用CASE 语句进行半加器的设计 3、将生成的半加器生成元件 四、实验步骤 1、完成半加器设计。 2、完成VHDL 半加器设计与仿真(记录仿真波形)。 3、生成半加器元件。 五、思考题: 1、怎样自建元件?自建元件的调用要注意什么?

实验二 全加器的设计 二、 实验目的 1、掌握图形的设计方式; 2、掌握自建元件及调用自建元件的方法; 3、熟练掌握MAXPLUS II 的使用。 二、实验内容 1、熟练软件基本操作,完成全加器的设计; 2、正确设置仿真激励信号,全面检测设计逻辑; 三、实验原理 1、全加器的设计 全加器除考虑两个加数外,还考虑了低位的进位。 全加器逻辑表达式: 1-⊕⊕=i i i i C B A S ;AB C B A C i i i i +⊕=-1)( 2、利用半加器元件完成全加器的设计 图形方式(其中HADDER 为半加器元件)

四、实验步骤 1、完成图形全加器设计。 2、完成VHDL全加器设计与仿真(记录仿真波形)。 3、利用半加器元件进行图形的全加器设计。 五、思考题: 1、怎样自建元件?自建元件的调用要注意什么?

EDA实验指导书新新印刷

EDA实验指导书新 新印刷

淮阴工学院 EDA技术实验指导书 编者: 叶小婷 电子与电气工程学院 6月7日

目录 实验一基于QUARTUSII 图形输入电路的设计 (1) 实验二基于VHDL 格雷码编码器的设计 (16) 实验三含异步清零和同步使能的加法计数器 (18) 实验四八位七段数码管动态显示电路的设计 (20) 实验五数控分频器的设计 (22) 实验六图形和VHDL 混合输入的电路设计 (23) 实验七四位并行乘法器的设计 (26) 实验八基本触发器的设计 (28) 实验九四位全加器设计 (30) 实验十矩阵键盘显示电路的设计 (32) 实验十一用VHDL 设计七人表决器 (35) 实验十二用VHDL 设计四人抢答器 (37) 实验九熟悉PROTEL99环境 (39) 实验十原理图设计 (42) 实验十一元件制作与网络表操作 (44) 实验十二印刷电路板设计 (47) 附录一实验箱常见管脚分配表 (49) 附录二参考程序 (51)

实验一基于QUARTUSII 图形输入电路的设计 一、实验目的 1.经过一个简单的3—8译码器的设计, 掌握组合逻辑电路的设计方法。 2.初步了解QUARTUSII 原理图输入设计的全过程。 3.掌握组合逻辑电路的静态测试方法。 二、实验设备 1.PC机一台; 2.Altera Blaster下载器一根; 3.THGSC-3型实验箱一台。 三、实验原理 3-8译码器三输入, 八输出。当输入信号按二进制方式的表示值为N时, 输出端标号为N 的输出端输出高电平表示有信号产生, 而其它则为低电平表示无信号产生。因为三个输入端能产生的组合状态有八种, 因此输出端在每种组合中仅有一位为高电平的情况下, 能表示所有的输入组合。 译码器不需要像编码器那样用一个输出端指示输出是否有效。但能够在输入中加入一个输出使能端, 用来指示是否将当前的输入进行有效的译码, 当使能端指示输入信号无效或不用对当前信号进行译码时, 输出端全为高电平, 表示无任何信号。本例设计中没有考虑使能输入端, 自己设计时能够考虑加入使能输入端时, 程序如何设计。

相关主题
相关文档 最新文档