当前位置:文档之家› 北航电气

北航电气

北航电气
北航电气

电气工程

发布日期:2011-04-27

一级学科“电气工程”电气工程学科属北航建校时的首批建设学科,下设“电力电子与电力传动”、“电机与电器”和“电工理论新技术”等3个二级学科。1953年开始招生本科生,1981年获首批“航空电气工程”学科硕士学位授予权,2006年“电机与电器”学科获得博士学位授予权。

电力电子与电力传动

该学科是一个与电能的变换、控制、输送、存储密切相关的应用基础学科。综合了电能变换、电磁理论、控制理论、电子技术、测试技术、计算机等学科的知识。

主要研究方向:

电力电子技术在电力系统中的应用

电力传动及其自动控制系统

电力电子装置与系统的故障自动诊断与容错控制

可再生能源离网和并网发电中的应用

电机与电器

该学科主要研究电机与电器及其它电磁与机电控制装置的理论、实施机电能量转换及控制装置的设计及运行规律。

主要研究方向:

电机电器动态分析及电磁场分析

特种电机及其控制

智能电器

电机电器参数测试及故障诊断

电工理论与新技术

该学科主要研究电气工程领域中电磁现象、规律及其应用,它既是电气工程及其相关学科的基础学科,又是一些边缘学科和交叉学科的生长点。

主要研究方向:

电磁场理论及其新技术

电工理论在无损检测、生物医学工程和测控中的应用

电气信息技术

就业

自动化科学与电气工程学院设有自动化(自动控制与信息技术)和电气工程及其自动化两个通识教育基础上的宽口径专业,适应数字化、综合化和智能化的发展趋势,在自动控制、信息技术与电气工程领域为国家培养高级工程技术和管理人才。

自动化专业是国防科工委重点专业,本专业适应数字化、综合化和智能化的发展趋势,以电为主、机电结合,以自动控制和计算机信息处理为基础进行特色专业教育。

电气工程及其自动化专业,根据电能的传输、变换、检测与控制技术的发展,本专业以电子技术、信息技术和计算机控制技术为基础,按照强电与弱电并重,元件与系统并重的原则进行特色专业教育。

学院开设电路、电子技术基础、自动控制原理、微机原理与接口技术、信息处理技术、工程光学、数字图象处理技术、电力电子技术、现代测试技术、计算机控制技术、过程控制、自动控制系统、机电控制等技术基础、专业基础及专业方向课。还开设有学科前沿系列讲座、计算机网络与通讯、电子设计自动化、单片机原理和应用、智能控制、机器人技术等系列选修课程。

在课程教学方面,注重提高教学质量,一流的教授走上本科生讲台,开展一流的本科生教学。大力开展精品课程建设,建造一流的师资队伍,编著与引进一流的教材,采用多媒体等多种先进教学手段,讲授先进的教学内容。学院的“电工类”系列课程为学校的精品课程;“自动控制原理”为北京市精品课程,正在申报国家精品课程。

学院长期与欧、美、日、俄等一些国际一流大学、学会进行学术交流与合作,每年均选派优秀本科生赴国外进行联合培养。学院教学、科研设备完善,其中“面向全校的电工电子中心”、自动控制与测试教学实验中心均是我校211 工程重点建设项目,拥有部级先进仿真技术航空科技重点实验室、“十五”教学振兴计划重点建设的“先进仿真技术公共教学中心”、微传感器技术实验室、机械电子工程实验室、电气工程实验室、机器人技术实验室等,为学生进行实验、科学研究与创新实践提供条件完善和设备先进的基地。“自动化专业类工程实验体系改革实践”项目获北京市教学成果一等奖。

本学院从事本科教学的教师每年有多人获得西飞、成飞、宝钢等各类奖教金;每年均组织学生参加北京市高校学生电子竞赛,多人次获得一等奖;近及几年连续参加中央电视台组织的机器人大奖赛,获得优胜奖。每年多有众多学生参加北航组织的“冯如杯”等各类竞赛活动,综合成绩排名位居学校各院系前列。

历年来社会对本学院的毕业生需求旺盛。本学院每年都选拔部分优秀的本科毕业生免试攻读硕士或博士学位,另有40% 以上的毕业生考取硕士研究生。其余毕业生在航空、航天、电子信息、通讯、计算机、交通、金融、机电等各行各业施展才华。

北航自动控制原理实验报告(完整版)

自动控制原理实验报告 一、实验名称:一、二阶系统的电子模拟及时域响应的动态测试 二、实验目的 1、了解一、二阶系统阶跃响应及其性能指标与系统参数之间的关系 2、学习在电子模拟机上建立典型环节系统模型的方法 3、学习阶跃响应的测试方法 三、实验内容 1、建立一阶系统的电子模型,观测并记录在不同时间常数T时的响应曲线,测定过渡过程时间T s 2、建立二阶系统电子模型,观测并记录不同阻尼比的响应曲线,并测定超调量及过渡过程时间T s 四、实验原理及实验数据 一阶系统 系统传递函数: 由电路图可得,取则K=1,T分别取:0.25, 0.5, 1 T 0.25 0.50 1.00 R2 0.25MΩ0.5M Ω1MΩ C 1μ1μ1μ T S 实测0.7930 1.5160 3.1050 T S 理论0.7473 1.4962 2.9927 阶跃响应曲线图1.1 图1.2 图1.3 误差计算与分析 (1)当T=0.25时,误差==6.12%; (2)当T=0.5时,误差==1.32%; (3)当T=1时,误差==3.58% 误差分析:由于T决定响应参数,而,在实验中R、C的取值上可能存在一定误差,另外,导线的连接上也存在一些误差以及干扰,使实验结果与理论值之间存在一定误差。但是本实验误差在较小范围内,响应曲线也反映了预期要求,所以本实验基本得到了预期结果。 实验结果说明 由本实验结果可看出,一阶系统阶跃响应是单调上升的指数曲线,特征有T确定,T越小,过度过程进行得越快,系统的快速性越好。 二阶系统 图1.1 图1.2 图1.3

系统传递函数: 令 二阶系统模拟线路 0.25 0.50 1.00 R4 210.5 C2 111 实测45.8% 16.9% 0.6% 理论44.5% 16.3% 0% T S实测13.9860 5.4895 4.8480 T S理论14.0065 5.3066 4.8243 阶跃响应曲线图2.1 图2.2 图2.3 注:T s理论根据matlab命令[os,ts,tr]=stepspecs(time,output,output(end),5)得出,否则误差较大。 误差计算及分析 1)当ξ=0.25时,超调量的相对误差= 调节时间的相对误差= 2)当ξ=0.5时,超调量的相对误差==3.7% 调节时间的相对误差==3.4% 4)当ξ=1时,超调量的绝对误差= 调节时间的相对误差==3.46% 误差分析:由于本试验中,用的参量比较多,有R1,R2,R3,R4;C1,C2;在它们的取值的实际调节中不免出现一些误差,误差再累加,导致最终结果出现了比较大的误差,另外,此实验用的导线要多一点,干扰和导线的传到误差也给实验结果造成了一定误差。但是在观察响应曲线方面,这些误差并不影响,这些曲线仍旧体现了它们本身应具有的特点,通过比较它们完全能够了解阶跃响应及其性能指标与系统参数之间的关系,不影响预期的效果。 实验结果说明 由本实验可以看出,当ωn一定时,超调量随着ξ的增加而减小,直到ξ达到某个值时没有了超调;而调节时间随ξ的增大,先减小,直到ξ达到某个值后又增大了。 经理论计算可知,当ξ=0.707时,调节时间最短,而此时的超调量也小于5%,此时的ξ为最佳阻尼比。此实验的ξ分布在0.707两侧,体现了超调量和调节时间随ξ的变化而变化的过程,达到了预期的效果。 图2.2 图2.1 图2.3

FPGA实验报告北航电气技术实验

FPGA电气技术实践 实验报告 院(系)名称宇航学院 专业名称飞行器设计与工程(航天)学生学号XXXXXXXX 学生姓名XXXXXX 指导教师XXXX 2017年11月XX日

实验一四位二进制加法计数器与一位半加器的设计实验时间:2017.11.08(周三)晚实验编号20 一、实验目的 1、熟悉QuartusII的VHDL的文本编程及图形编程流程全过程。 2、掌握简单逻辑电路的设计方法与功能仿真技巧。 3、学习并掌握VHDL语言、语法规则。 4、参照指导书实例实现四位二进制加法计数器及一位半加器的设计。 二、实验原理 .略 三、实验设备 1可编程逻辑实验箱EP3C55F484C8 一台(包含若干LED指示灯,拨码开关等)2计算机及开发软件QuartusII 一台套 四、调试步骤 1四位二进制加法计数器 (1)参照指导书实例1进行工程建立与命名。 (2)VHDL源文件编辑 由于实验箱上LED指示灯的显示性质为“高电平灭,低电平亮”,为实现预期显示效果应将原参考程序改写为减法器,且”q1<= q1+1”对应改为”q1<= q1-1”,以实现每输入一个脉冲“亮为1,灭为0”。 由于参考程序中的rst清零输入作用并未实现,所以应将程序主体部分的最外部嵌套关于rst输入是否为1的判断,且当rst为1时,给四位指示灯置数”1111”实现全灭,当rst为0时,运行原计数部分。 (3)参照指导书进行波形仿真与管脚绑定等操作,链接实验箱并生成下载文件 (4)将文件下载至实验箱运行,观察计数器工作现象,调试拨动开关查看是否清零。 可以通过改变与PIN_P20(工程中绑定为clk输入的I/O接口)相连导线的另一端所选择的实验箱频率时钟的输出口位置,改变LED灯显示变化频率。 并且对照指导书上对实验箱自带时钟频率的介绍,可以通过改变导线接口转换输入快慢,排查由于clk输入管脚损坏而可能引起的故障。

北航自动化三系305(即导航方向)外推复试

补充版:北航复试注重计控,数电,计控方面的只是,还有很杂的东西都有,比如概率论,模电,但那不是重点,要是不会的话就直接跟老师说自己忘了,但是其它科目得学得好。面试时把老师往自己会的方向引就好。当然要好好准备了 以下是自己在复习时总结的东西,有一些是往届复试题,答案瞎写的,仅供参考。 I、笔试 3、填空题:在微分方程、传递函数、状态空间方程三种形式下,二阶系统的固有频率分别由什么量给出。 A.微分方程系数(sqrt(a2/a0)); B.wn; C.特征值(sqrt(入1*入2))。 4、给了一个弹簧振子的图,根据条件写出微分方程。 这个应该会 5、给了一个系统的电路图,让你补全负反馈部分的电路,并且画出系统的框图。 真心不好,电路原理很重要!!!!!!!!! 6、PID控制的特点是什么?比例、积分、微分对系统分别有什么影响? PID特点是结构简单,稳定性好,工作可靠,调整方便。 比例系数Kp对系统性能的影响:稳定情况下,Kp增加,稳态误差减小,系统响应速度加快,但如果Kp偏大,调节时间加长,甚至不稳定; 积分控制能消除系统静差,但如果积分作用太强,系统将不稳定; 微分能预测误差变化趋势,选择合适的微分作用能减小系统超调,缩短调节时间,允许加大比例控制,但微分作用过大或过小都会适得其反。 7、保持器的作用是什么? 在被控对象前加一个保持器充当滤波器的作用,可以再现主频谱分量而除掉附加的高频谱分量,把数字信号无失真的恢复成连续信号。 8、离散系统的稳定条件是什么? 闭环脉冲传递函数的全部极点位于z平面上以原点为圆心的单位圆内。 9、连续系统的稳定条件是什么? 闭环传递函数的全部极点位于s平面的左半平面。 10、在阶跃输入下,为了研究被控对象,应记录响应的“过渡过程曲线”还是“稳定之后的曲线”?如果是正弦输入呢? 在阶跃输入下,应记录“过渡过程曲线”,正弦输入下,应记录“稳定之后的曲线”。因为一般情况阶跃输入下是在时域下研究被控对象,而正弦输入一般是在复频域研究。 11、画出一阶系统的阶跃响应曲线和脉冲响应曲线,说明一阶系统的特点。 一阶系统单位阶跃响应没有超调,系统时间常数越小,调节时间越小,响应过程快速性越好。12、机器语言、汇编语言、高级语言分别有什么特点?哪种语言执行速度最快? 机器语言是只有计算机才能识别的语言,程序里面只有0和1组成,编程困难,容易出错;汇编语言接近机器语言,能对计算机的内存地址直接进行操作,但是人可以识别这种语言;高级语言比汇编语言更容易让人们识别编写,但计算机识别比较困难; 由于计算机能直接识别机器语言,所以机器语言执行速度最快。 13、如果系统的时间常数为T,那么计算机控制系统的采样周期应该为多少? 这要根据具体情况而定(这个我真心不会不会) 14、采样频率如何确定?它与计算机硬件有怎样的关系?

北航物理研究性实验报告——示波器

北航物理研究性实验报告 专题:模拟示波器的使用及其应用 学号:10151192 班级:101517

姓名:王波 目录 目录 (2) 摘要 (3) 一.实验目的 (3) 二.实验原理 (3) 1.模拟示波器简介 (3) 2.示波器的应用 (6) 三.实验仪器 (6) 四.实验步骤 (7) 1.模拟示波器的使用 (7) 2.声速测量 (8) 五.数据记录与处理 (8) 六.讨论 (10)

摘要 示波器是一种用途十分广泛的电子测量仪器,它能直观、动态地显示电压信号随时间变化的波形,便于人们研究各种电现象的变化过程,并可直接测量信号的幅度、频率以及信号之间相位关系等各种参数。示波器是观察电路实验现象、分析实验中的问题、测量实验结果的重要仪器,也是调试、检验、修理和制作各种电子仪表、设备时不可或缺的工具。 一.实验目的 1.了解示波器的主要结构和波形显示及参数测量的基本原理,掌握 示波器、信号发生器的使用方法; 2.学习用示波器观察波形以及测量电压、周期和频率的方法; 3.学会用连续波方法测量空气速度,加深对共振、相位等概念的理 解; 4.用示波器研究电信号谐振频率、二极管的伏安特性曲线、同轴电 缆中电信号传播速度等测量方法。 二.实验原理

1.模拟示波器简介 模拟示波器是利用电子示波管的特性,将人眼无法直接观测的交变电信号转换成图像并显示在荧光屏上以便测量和分析的电子仪器。它主要由阴极射线示波管,扫描、触发系统,放大系统,电源系统四部分组成。 示波管结构图 (1)工作原理 模拟示波器的基本工作原理是:被测信号经Y轴衰减后送至Y1放大器,经延迟级后到Y2放大器,信号放大后加到示波管的Y轴偏转板上。 若Y轴所加信号为图所示的正弦信号,X输入开关S切换到“外”输入,且X轴没有输入信号,则光点在荧光屏竖直方向上按正弦规律上下运动,随着Y轴方向信号的提高,由于视觉暂留,在荧光屏上显示一条竖直扫描线。同理,如在X轴所加信号为锯齿波信号,且Y轴没有输入信号,则光点在荧光屏上显示一条水平直线。

自动化专业就业方向及大学排名

据我所知,北航自动化专业本科生毕业后大部分进入航空航天系统,主要有:航天集团下面的研究院,如:运载火箭研究院、航天二院(好像是做航天仪表的)、航天三院(导航制导、石油采掘)、航天五院(空间技术,神舟、嫦娥啥的都是这造的)、上海航天局,等等,各大航空公司。航空航天系统外有:施耐德等做工业机器人、工控设备的;空调厂商;集成电路、芯片设计厂商;等等。我了解的有限,大概如此吧。 具体职位有做控制仪表、导航制导、芯片设计、温控、测试仪表,等等;看你学的是什么小方向。 近几年航天热,航天五院的待遇是系统内待遇最好的单位之一,里面有很多研究室,不光是研制神舟嫦娥。未来我国的空间技术会有大发展,空间技术里面也有很多有趣的学问和技术。不过,要想进入这些单位可不容易。 自动化专业确实学的比较杂,电子、信号测试分析、计算机测控、控制理论、机械,什么都学一点。但就控制理论来说,应用的地方不多,以后就业专门需要控制理论的工作也不多,除了航空航天系统,大公司里我就知道施耐德、通用沾点边。 控制理论主要还是一门科学体系,其应用价值到底多大?不好说。以后可能会有大的发展。所以,建议自动化专业的学生根据兴趣多钻研一个方向,加强动手能力的训练,切忌什么都会点但什么都不精。 总体来说,北航的就业情况还是不错的,系统内工作稳定,就业贴近所学,国家近几年投入力度也较大。但另一方面,系统内单位也具有一些传统国企的风格,工资不高,工作地点大多较远,在单位要服从命令做好螺丝钉。有的人喜欢这种工作,有的人则不喜欢。 总结一下,如果你真的喜欢控制理论,以后想进航空航天系统工作,那就去北航、哈工大、清华等读自动化;如果你喜欢自动化,以后却想去做编程、芯片,那最好直接去学计算机、电子,这些专业差别还是比较大的。 1.电厂(包括各个公司,工厂的配电室) 2.软件开发(特别是单片机或eda等) 3.plc(大体是工控方向,搭建操作平台等) 4.各个矿山,或金属冶炼场所(进行自动化设备的维护,操作等) 5.去学校当老师 6.做销售工作(专门卖自己专业相关的东西)

北航eda实验报告

2014-2015-2-G02A3050-1 电子电路设计训练(数字EDA部分) 实验报告 (2015年5月19日) 教学班学号姓名组长签名成绩120311王天然* 120311马璇 120312唐玥 自动化科学与电气工程学院

目录 ( 2015年5月19日).........................................错误!未定义书签。目录 .........................................................错误!未定义书签。实验一、简单组合逻辑和简单时序逻辑............................错误!未定义书签。 简单的组合逻辑设计..................................错误!未定义书签。 实验目的和内容:..................................错误!未定义书签。 实验源代码:......................................错误!未定义书签。 测试模块源代码:..................................错误!未定义书签。 简单分频时序逻辑电路的设计...........................错误!未定义书签。 实验目的和内容:..................................错误!未定义书签。 实验源代码:......................................错误!未定义书签。 实验测试源代码:..................................错误!未定义书签。 (选作)设计一个字节(8位)比较器....................错误!未定义书签。 实验内容:........................................错误!未定义书签。 实验代码:........................................错误!未定义书签。 实验测试源代码:..................................错误!未定义书签。 实验小结.............................................错误!未定义书签。实验二、条件语句和always过程块...............................错误!未定义书签。 实验任务1——利用条件语句实现计数分频时序电路.......错误!未定义书签。 实验要求.........................................错误!未定义书签。 模块的核心逻辑设计...............................错误!未定义书签。 测试程序的核心逻辑设计...........................错误!未定义书签。 仿真实验关键结果及其解释.........................错误!未定义书签。 实验任务2——用always块实现较复杂的组合逻辑电路....错误!未定义书签。

北航电气工程及其自动化电力电子技术报告

电力电子技术实验报告 姓名: 学号: 班级:12031 1

实验二三相半波可控整流电路的研究一.实验目的 了解三相半波可控整流电路的工作原理,研究可控整流电路在电阻负载和电阻—电感性负载时的工作。 二.实验线路及原理 三相半波可控整流电路用三只晶闸管,与单相电路比较,输出电压脉动小,输出功率大,三相负载平衡。不足之处是晶闸管电流即变压器的二次电流在一个周期内只有1/3时间有电流流过,变压器利用率低。 实验线路见图1-5。 三.实验内容 1.研究三相半波可控整流电路供电给电阻性负载时的工作。 2.研究三相半波可控整流电路供电给电阻—电感性负载时的工作。 四.实验设备及仪表 1.教学实验台主控制屏 2.NMCL—33组件 3.NMEL—03组件 4.二踪示波器 5.万用表 五.注意事项 1.整流电路与三相电源连接时,一定要注意相序。 2.整流电路的负载电阻不宜过小,应使Id不超过0.8A,同时负载电阻不宜过大,保证Id 超过0.1A,避免晶闸管时断时续。 3.正确使用示波器,避免示波器的两根地线接在非等电位的端点上,造成短路事故。 六.实验方法

按图接线,未上主电源之前,检查晶闸管的脉冲是否正常。 (1)用示波器观察MCL-33的双脉冲观察孔,应有间隔均匀,幅度相同的双脉冲 (2)检查相序,用示波器观察“1”,“2”单脉冲观察孔,“1” 脉冲超前“2” 脉冲600,则相序正确,否则,应调整输入电源。 (3)用示波器观察每只晶闸管的控制极,阴极,应有幅度为1V—2V的脉冲。 2.研究三相半波可控整流电路供电给电阻性负载时的工作 (a)合上主电源,接上电阻性负载: 改变控制电压Uct,观察在不同触发移相角α时,可控整流电路的输出电压Ud=f(t)与输出电流波形id=f(t),并记录相应的Ud、Id、Uct值。 (b)记录α=90°时的Ud=f(t)及id =f(t)的波形图。(见下) Ud=f(t) 电阻负载 i d= f(t):(与U d= f(t)相同,只是小R倍)

北航自动化学院

北京航空航天大学自动化科学与电气工程学院 控制工程领域硕士论文开题有关要求 一.文献综述及开题报告的要求 1、文献综述: 每位学生在开题之前应在导师指导下,根据研究方向阅读有关文献,写出文献综述报告,文献综述报告应包含中英文摘要和关键词;对所属研究方向阅读文献的概述,研究现状及发展趋势;结论;主要参考文献。文献综述的字数一般不少于10000字。文献综述应在做开题报告之前完成。要求参考科技文献不少于25篇,其中外文文献不少于10篇。 2、开题报告 (1)论文选题的背景意义和根据。 (2)国内外状况研究现状分析:要详细介绍论文题目所研究内容的国内外发展动态及最新成果。从中看出论文所研究内容在国际及国内发展中的地位。 (3)论文研究内容:说明论文所要研究的具体内容。如有两人以上合作共同完成的课题,必须说明具体分工,说明自己所承担的内容。 (4)具体实施方案:说明完成论文研究内容的方法、技术途径。 (5)关键技术及难点:要明确指出完成本论文过程中可能遇到的技术难点或关键技术,并概要说明拟采取的措施。 (6)预期达到的目标:要具体说明本论文完成时所能给出的具体成果,该成果应与论文内容一致。 (7)论文工作计划:根据论文内容详细列出完成每一项工作的起止时间。按要求,从入学到论文答辩完成不得少于3年和大于5年。建议从准备论文开题到 论文答辩完成不要少于1.5年。 (8)参考文献:列出撰写开题报告及完成论文的主要国内外参考文献。 要求参考科技文献不少于25篇,其中外文文献不少于10篇 开题报告字数不得少于8000字。 3、文献综述及开题报告格式

中图分类号:××××× 学号:GS 08031×× 硕士文献综述 控制系统……………… ……………分析 (题目不要超过25个字,32号字,宋体,居中) 作者姓名╳×× 学科专业控制工程 指导教师╳××教授 指导教师╳××高工 培养院系自动化科学与电气工程学院

北航机电仿真实验报告(附源代码以及运行结果)

机电系统设计仿真实验报告 题目:基于Maple的滑块摆仿真实验程序设计院系: 班级: 姓名: 学号:

北京航空航天大学机电系统设计仿真实验 基于Maple的滑块摆实验程序设计 一、实验目的及意义 通过本实验掌握Maple仿真软件的使用方法,建立系统数学建模的思想,同时对编程能力也是一种提高。 二、实验原理与要求 2.1 Maple简介 Maple是一个具有强大符号运算能力、数值计算能力、图形处理能力的交互式计算机代数系统(Computer Algebra System)。它可以借助键盘和显示器代替原来的笔和纸进行各种科学计算、数学推理、猜想的证明以及智能化文字处理。Maple这个超强数学工具不仅适合数学家、物理学家、工程师, 还适合化学家、生物学家和社会学家, 总之, 它适合于所有需要科学计算的人。 2.2 滑块摆实验要求 滑块摆由一置于光滑杆上的质量为m的滑块A、一质量为M的小球B和长度为L,质量不计的刚性杆铰接而成,不计各处摩擦,以过A点的水平面为零势能面,通过Lagrange 方程建立系统的运动方程,利用Maple软件画出: 1.滑块A的位移x随时间t的变化曲线 2.角度φ随时间t的变化曲线 3.滑块摆的运动动画

三、实验设计及方法 3.1 设计原理 设定初始条件为:m=1Kg ,M=1Kg ,g=9.8,L=2m φ(0) = 0rad, x(0) = 0m, φ’(0) = -1.3rad/s, x ’(0) = 1m/s 如下定义的拉格朗日方程 ''c p q L E E d L L D F dt q q q =-? ? ??????-+= ?????? ?? 其中: q x(t)和θ(t)的自由度 D 由于摩擦而消耗的能量 F q 由自由度q 产生的力 E c 和E p 系统的动能和势能 系统有两个自由度,以x 和?为广义坐标,以过A 点的水平面为零势能面,系统的动

北航自动化学科前沿介绍课程报告

学科前沿介绍课程报告 高等工程学院 3903 2415 李柏

一、选课动机和目标 作为一名自动化学院的09级新生,即将升入大二,在日常学习中却依然只涉及到各种基础课程,我们普遍对未来我们专业的各种方向没有一个全面而系统的了解,甚至至今很多人对自动化根本没有了解。尽管现在没有开专业课,我们也没分专业方向,但是我认为,及早地了解自动化学科的概况介绍信息,以及各专业方向的内容,是非常关键的:通过这样的课程,可以尽早发现与自身性格特点以及兴趣、未来理想相适应的专业,也会使我在大一大二基础课的学习中更有侧重地、更高效地掌握知识。本着这样的想法,我选择了这门课程。 二、实际收获以及心得体会 经过这八堂课的学习,我对自动化的各专业方向有了大致的了解。所谓自动化,是指运用机器部分或完全代替人的体力或脑力劳动,按照预定的指令、程序工作。在第一堂课,秦老师给我们介绍了“控制科学与工程”这一概念,控制科学与工程是研究控制的理论、方法、技术及其工程应用的学科。控制科学以控制论、信息论、系统论为基础,研究各领域内独立于具体对象的共性问题,即为了实现某些目标,应该如何描述与分析对象与环境信息,采取何种控制与决策行为。它对于各具体应用领域具有一般方法论的意义,而与各领域具体问题的结合,又形成了控制工程丰富多样的内容。它与信息科学和计算机科学的结合开拓了知识工程和智能机器人领域。与社会学、经济学的结合使研究的对象进入到社会系统和经济系统的范畴中。与生物学、医学的结合更有力地推动了生物控制论的发展。同时,相邻学科如计算机、通信、微电子学和认知科学的发展也促进了控制科学与工程的新发展,使本学科所涉及的研究领域不断扩大。 自动化下设五个二级学科:控制理论与控制工程,检测技术与自动化装置,系统工程,模式识别与智能系统,导航、制导与控制。 以下是这八堂课以来,我对它们的内涵的理解: 1. “模式识别与智能系统”,这是我们第二讲的学习内容。 模式识别(Pattern Recognition)是指对表征事物或现象的各种形式的(数值的、文字的和逻辑关系的) 信息进行处理和分析,以对事物或现象进行描述、辨认、分类和解释的过程,是信息科学和人工智能的重要组成部分。模式识别具有近乎无限的发展潜力,比如人脸检测与识别技术,国产汉王软件的文字识别功能,笔记本电脑上普及的指纹识别技术,虹膜识别,还有用医学图像自动分析仪器来辅助诊断,识别语音来录入文字,在图像导航领域的地面物体自动辨认等功能。 主流的模式识别方法分以下几种: 其一为统计模式识别法 原始数据的样本,按照一定的规律或者随机地 映射,对应不同的算法,得到不同区域内的结 果,再进行相应的汇总处理,得到最终的一个 结果。

北航电气实验FPGA实验报告

北京航空航天大学电气实验报告 FPGA实验 张天 130325班 学号:13031220

一.实验目的 略 二.实验要求 略 三.实验设备 略 四.实验内容 略 五.实验实例 1.实例6-1 思考题1:输出信号q3q2q1绑定接口电路的七段数码管或米字型数码管或LED点 阵显示? 答: 思考题2:怎样修改成4位二进制减法计数器,具有清零,启动控制功能等? 答: 思考题3:把计数器修改成2位或更多位十进制计数功能,再用七段数码管进行显示等? 答: 2.实例6-2 思考题:一位半加器电路采用VHDL语言实验 答: library ieee; use ieee.std_logic_1164.all; use iee.std_logic_unsigned.all; entity halfadd is port (a,b;in std_logic sum,carry; out std_logic) end entity halfadd; architecture halfadd is begin sum<=a and(not b)+b and (not a); carry<= a and b; end architecture halfadd; 六.实验过程 我们组做的是一个利用led点阵规律亮灭变化形成字体,并且字体产生变化,形成“自动化?”的样子,实现图片如下图:

1.实验分析: 实验设计思路: 本实验的设计思路是利用led灯的 辉光效应,利用逐行扫描,在高频情况下就会显示所有行的亮灯,进而形成汉字,并且有时钟计数程序,当时钟数字达到规定值(本实验为111111111b)时,跳转到下一个状态,显示第二个憨子。每个汉字的颜色由led灯决定,改led矩阵有红绿两种led灯,因此有红绿橙三种颜色显示。 2.实现过程 对设计思路的实现并非一帆风顺,最初编写的时候遇到了一些问题。首先,定义输入输出角是个繁琐的事情(需要定义40+次,每次必须手动),另外,在程序编写过程中,也出现了一些逻辑错误,对于错误,我们仔细逐条语句分析,最终解决了错误,解决过程中也加深了对FPGA的语言逻辑及硬件结构的理解。 七.FPGA使用心得 在学习FPGA过程中,我获得了很多收获。首先,由于有单片机的基础,上手过程并不是十分复杂,对于输入输出的理解我没有遇到太多阻碍。这次学习也验证了我具有短时间内掌握一款新型的芯片的能力。学习过程中最大的困难就是VDHL语言的编写。我们以前有c语言的基础,不过学习这种新的语言还是花出了不少时间与精力,现在可以说基本掌握的VDHL的基本写法和思路,能运 用到需要的程序中来。另外,调试的过程能极强地加大对程序的理解及逻辑的构建,在调试中,逐渐明白了以前不懂的东西,对FPGA的工作原理的理解更加透彻了。 不了解的人可能会把FPGA当做一种单片机,但其实,相比于单片机,FPGA 是有很多优势的。其无固定的硬件结构使其具有远超单片机的灵活性,另外,它的编程方法可以同步进行多个process 使其能同步处理多个进程,因此,它的

北航自动化复试资料

北航自动化学院的专业复试内容基本相同,建议准备时每个问题都要充分思考把握,下面针对各个方向的主要侧重点加以细化: 北航的模式识别在系别构成上属于控制理论与控制工程,一般会跟控制理论与控制工程的学生一起复试. 复试的话可能会有英文自我介绍,不是每个人都有,准备一下,大概一百来词就够了,发音清楚,一定要让人听懂,不用刻意追求标准,之后就会问你有没有科研经历,做过项目或者参加过科研竞赛之类,有的话就主要会围着这个来问问题,当然也会问专业知识.没有就会问你一些专业课的知识,你们专业主要是自动控制原理,另外还有线性代数,比方说什么是正交矩阵之类的.你们专业主要是搞算法,线性代数的一些概念非常非常重要,很多老师刁难学生就是用线性代数,建议你好好看一下. 我个人认为还是说自己有过科研经历比较好,这样会把主动权握在你手里,没有的话也要跟朋友或者师兄弄一个,然后把他的原理,制作过程彻底弄明白,变成你自己的,一定要彻底,否则的话被问出破绽就很危险了. 三系的模式识别牛人不多,只有秦世引老师算资深的,不过非常牛,甚至号称不召硕士,具体导师你再考虑一下,先把复试过了再说.复试不要紧张,概念性的问题有不会的就说不会,千万不要不懂装懂,发挥性的问题就看你口才了,提前准备一下,加油,GOOD LUCK 北航每个院的复试方式都不一样,我把我了解的情况告诉大家一下,可供大家参考下:自动化学院也就是三系,去年,控制工程与控制理论的复试全是面试,由两部分组成,第一部分,英语口语面试,考核方式有自我介绍或抽一段专业英语的文章,读并翻译等。第二部分,专业面试,就主要是在一个会议室里面,大概10个左右的老师坐在下面,问你专业相关的问题,问题一般包括你本科学的,例如一个微弱信号怎么放大啊,在黑板上写出系统状态方程,系统的可控可观测性等等,有时还要你在黑板上画根轨迹,画信号的频谱图,不过那些东西都很基础, 检测技术与自动化装置 检测技术与自动化装置复试,去年大概跟双控的差不多,也是在一个大会议室里有十几个老师坐在下面,先用英语自我介绍和专业英语的翻译,再就根据你本科学专业问问题,一般老师都会看你的本科成绩单来问,另外检测主要还是偏硬件的,所以你的数模电,信号处理,微机,单片机,自控原理例如问你拉普拉斯变换和傅里叶变换、Z变换的区别联系。 很多同学在3系的复试说来有许多遗憾,每个面试完的都在抱怨。 老师们上来回先问:在本科期间做过什么科研项目吗?最好说出些来,例如电子设计大赛,毕业设计等,这些务必要认真准备。 然后他们会看着成绩单问他们所感兴趣的科目,如电路、系统工程、现代控制、自控等问题。差不多20分钟搞定,所以为了有出色的表现,不留遗憾,建议欲报考北航的同志们稍加注意正在学习的课程。 电气机电去年老师拿着成绩单随便问学过的东西,电路、自控、电机、系统工程等,所以你的面试准备必须是详细的,当然,这个是防不胜防,因为他问的十分创新,可能要求设

北航电力电子实验报告

电力电子实验报告 学号12031006 王天然

实验一功率场效应晶体管(MOSFET)特性 与驱动电路研究 一.实验目的: 1.熟悉MOSFET主要参数的测量方法 2.掌握MOSEET对驱动电路的要求 3.掌握一个实用驱动电路的工作原理与调试方法 二.实验设备和仪器 1.NMCL-07电力电子实验箱中的MOSFET与PWM波形发生器部分 2.双踪示波器 3.安培表(实验箱自带)

4.电压表(使用万用表的直流电压档) 三.实验方法 1.MOSFET 主要参数测试 (1)开启阀值电压V GS(th)测试 开启阀值电压简称开启电压,是指器件流过一定量的漏极电流时(通常取漏极电流I D =1mA)的最小栅源极电压。 在主回路的“1”端与MOS 管的“25”端之间串入毫安表(箱上自带的数字安培表表头),测量漏极电流I D ,将主回路的“3”与“4”端分别与MOS 管的“24”与“23”相连,再在“24”与“23”端间接入电压表, 测量MOS 管的栅源电压Vgs ,并将主回路电位器RP 左旋到底,使Vgs=0。 图2-2 MOSFET实验电路

将电位器RP逐渐向右旋转,边旋转边监视毫安表的读数,当漏 极电流I D=1mA时的栅源电压值即为开启阀值电压V GS(th)。 读取6—7组I D、Vgs,其中I D=1mA必测,填入下表中。 I D 0.2 0.5 1 5 100 200 500 (mA) Vgs 2.64 2.72 2.86 3.04 3.50 3.63 3.89 (V) (2)跨导g FS测试 双极型晶体管(GTR)通常用h FE(β)表示其增益,功率MOSFET 器件以跨导g FS表示其增益。 跨导的定义为漏极电流的小变化与相应的栅源电压小变化量之 比,即g FS=△I D/△V GS。 ★注意典型的跨导额定值是在1/2额定漏极电流和V DS=15V下测得,受条件限制,实验中只能测到1/5额定漏极电流值,因此重点是掌握跨导的测量及计算方法。 根据上一步得到的测量数值,计算gFS=0.0038Ω

北京航空航天大学保研—北航机械工程及自动化学院保研(推荐免试)接收外校推免研究生的相关规定

北京航空航天大学保研—北航机械工程及自动化学院保研(推荐免试)接收外校推免研 究生的相关规定 一、北航机械工程及自动化学院保研(推荐免试)申请条件 1.热爱祖国,热爱共产党,身心健康,遵纪守法,品行端正; 2.具有推荐免试资格的高校优秀应届本科毕业生。其中,申请推免直接攻读博士学位或学术型硕士研究生的学生,必须已取得本科就读学校给予的学术型推免生资格; 3.申请推免直接攻读博士学位研究生的学生,本科所在学校若为研究生院高校,一般要求成绩排名在前10%;本科所在学校若为211学校(不含研究生院高校),一般要求成绩排名在前5%。 申请攻读硕士学位研究生的学生,本科所在学校若为研究生院高校,一般要求本科前三学年成绩在学院本专业年级排名前25%;本科所在学校若为211高校(不含研究生院高校),一般要求本科前三学年成绩在学院本专业年级排名前10%。 对于特别优秀和具有发展潜力的学生,该要求可以适当放宽; 4.身体健康状况符合《普通高等学校招生体检工作指导意见》要求。 二、北航机械工程及自动化学院保研(推荐免试)申请者需提供的材料 1.完整、真实填写《北京航空航天大学接收推荐免试攻读2014年研究生申请表》(见附件一)一式两份; 2.对申请有参考价值的本人自述(限1000字以内)一份; 3.提交加盖所在学校教务处公章的本人历年在校学习成绩单一份; 4.若有本人具有代表性的学术论文、出版物或科技成果,请提交复印件一份; 5.若有本人在学期间学科竞赛、科技活动或其它获奖的证明,请提交复印件一份; 6.身份证的正反面复印件1份,正反面需复印在A4纸的同一页面上; 7.提交由二级甲等以上(含二级甲等)医疗机构出具的体格检查表(样式见附件二)。 三、北航机械工程及自动化学院保研(推荐免试)申请办法 1.申请者请到北航研究生招生信息网http://yzb.buaa.edu.cn/查阅相关说明及要求,下载申请表(见附件一),按照学校及申请学院要求的时间节点将全部申请材料(统一用A4纸)寄(或送)达所申请学院的研究生教务办公室; 2.经申请学院招生领导小组对申请人各项材料(含体格检查表)综合评审后,通知学生并组织复试,学生需交纳复试费100元/人。 3.学院同意接收者,学校研究生招生办公室将凭已有学院盖章签字后的申请表(见附件一),在填写完整的拟录取通知书上盖学校研究生招生办公室公章。 4.学生凭盖有学校研究生招生办公室公章的拟录取通知书,按照拟录取通知书上的要求,履行相关手续。请学生注意复印并留存一份拟录取通知书复印件备查; 5.被我校拟录取的推荐免试考生需在次年的三月份与报考学院联系,确认资格审查、领取协议、政审、录取等相关事宜。 四、北航机械工程及自动化学院保研(推荐免试)说明 1.政审不合格者取消录取资格; 2.录取当年9月份未取得本科毕业证书,则取消入学资格; 3.一经发现申请人提供的各项材料不真实,或学生最终未能获得本科就读学校推免名额,即刻取消申请人免试录取资格; 4.已获得我校发放的“拟接收证明”学生,也必须要提交“二、申请者需提供的材料”中的各项材料,具体流程见相关学院制定的要求; 5.请恕所有资料概不退还。 盛世清北—专注北京航空航天大学考研|保研|考博辅导https://www.doczj.com/doc/46591144.html,

北航实验安全考试试卷A

考生答案:C 参考答案:C 标准分:1分得分:1分 5 通过人身的安全交流电流是()。 A 10mA B 50mA C 100mA D 500mA 考生答案:B 参考答案:A 标准分:1分得分:0分 6 毒物进入人体最主要、最常见的途径是()。 A 呼吸道 B 皮肤 C 眼睛 D 消化道 考生答案:A 参考答案:A 标准分:1分得分:1分 7 发生电器着火应()。 A 别管它,赶紧跑 B 保障安全的情况下先灭火,然后关电源 C 保障安全情况下先关掉电源,然后灭火 考生答案:C 参考答案:C 标准分:1分得分:1分 8 按有关安全规程,使用的电气设备其外壳应有的防护措施是()。 A 无

B 保护性接零或接地 C 防锈漆 考生答案:B 参考答案:B 标准分:1分得分:1分 9 电动工具的电源引线,其中黄绿双色线应作为()线使用。 A 相 B 工作零 C 保护接地 考生答案:C 参考答案:C 标准分:1分得分:1分 10 发生电气火灾后,首先应该采取的第一条措施是()。 A 打电话报警 B 切断电源 C 扑灭明火 D 保护现场,分析火因,以便采取措施,杜绝隐患 考生答案:B 参考答案:B 标准分:1分得分:1分 11 有害废液的处理规定()。 A 量不大可以倒在土地上自然渗掉 B 集中一定量后卖给小贩 C

集中一定量后交学校统一c处置 D 一定要倒入下水道 考生答案:C 参考答案:C 标准分:1分得分:1分 12 当有汞(水银)撒落时,现场应()。 A 用水擦 B 用拖把拖 C 扫干净后倒入垃圾桶 D 将撒落的水银收集至密封容器中,加水或甘油液封,地面或桌面再用硫磺粉覆盖,收集后统一处理 考生答案:D 参考答案:D 标准分:1分得分:1分 13 热处理实验采用的淬火介质如:水、矿物油、其他混合介质等,使用后如直接排入下水道会造成( )的污染。 A 空气质量 B 水环境 C 人身 考生答案:B 参考答案:B 标准分:1分得分:1分 14 当不慎把少量浓硫酸滴在皮肤上时,正确的处理方法是()。 A 用酒精擦 B 马上去医院 C 用碱液中和后,用水冲洗 D 以吸水性强的纸吸去后,用水冲洗

【北航保研辅导班】北航机械工程及自动化学院推免保研条件保研材料保研流程保研夏令营

【北航保研辅导班】北航机械工程及自动化学院推免保研条件保研材 料保研流程保研夏令营 2018年保研夏令营已陆续拉开帷幕,为了方便考生及时全面的了解985/211等名校保研信息,启道保研小编为大家整理了2018年名校各院系保研汇总信息,以供考生参考。一、北航机械工程及自动化学院保研资格条件(启道北航保研辅导班) (1)坚持以德为先,德智体全面衡量,思想品德作为推免生遴选的重要内容,对思想品德考核不合格者不予推荐录取。 (2)身心健康(符合研究生入学体检标准)。 (3)实践能力较强,实践环节平均成绩良好及以上。 在上述基础上需符合以下条件之一: (1)纳入学校推免生名额分配计划名单的优秀生。 (2)纳入学校推免生名额分配计划名单中的“冯如杯”获奖者。 (3)学习成绩优秀,三个学年度必修课没有补考记录,综合成绩在年级排名的前30%;或必修课有一门补考记录者,要求综合成绩排名在前10%。 二、北航机械工程及自动化学院保研政策(启道北航保研辅导班) 一、招收项目: 本年度推荐免试研究生接受以下项目的申请: 1、机械工程(080200) 2、工业设计(087200) 二、申请材料: 1.由二级甲等以上(含二级甲等)医疗机构或北航校医院出具的近一个月内的体格检查表一份。体格检查表上的体检内容不得少于附件样表所列项目,并且注意须随体格检查表附各种检查的化验单。 体检材料单独准备,不要和其他材料装订在一起。身体健康状况要求见学校研究生院网址。 2.政审表:纸质版一份,具体填写要求见其说明。政审是研究生复试录取的重要环节,没有政审表不能参加复试、政审不合格者不予录取。政审表单独准备,不要和其他材料装订在一起。

北航电气

电气工程 发布日期:2011-04-27 一级学科“电气工程”电气工程学科属北航建校时的首批建设学科,下设“电力电子与电力传动”、“电机与电器”和“电工理论新技术”等3个二级学科。1953年开始招生本科生,1981年获首批“航空电气工程”学科硕士学位授予权,2006年“电机与电器”学科获得博士学位授予权。 电力电子与电力传动 该学科是一个与电能的变换、控制、输送、存储密切相关的应用基础学科。综合了电能变换、电磁理论、控制理论、电子技术、测试技术、计算机等学科的知识。 主要研究方向: 电力电子技术在电力系统中的应用 电力传动及其自动控制系统 电力电子装置与系统的故障自动诊断与容错控制 可再生能源离网和并网发电中的应用 电机与电器 该学科主要研究电机与电器及其它电磁与机电控制装置的理论、实施机电能量转换及控制装置的设计及运行规律。 主要研究方向: 电机电器动态分析及电磁场分析 特种电机及其控制 智能电器 电机电器参数测试及故障诊断 电工理论与新技术 该学科主要研究电气工程领域中电磁现象、规律及其应用,它既是电气工程及其相关学科的基础学科,又是一些边缘学科和交叉学科的生长点。 主要研究方向: 电磁场理论及其新技术 电工理论在无损检测、生物医学工程和测控中的应用 电气信息技术 就业 自动化科学与电气工程学院设有自动化(自动控制与信息技术)和电气工程及其自动化两个通识教育基础上的宽口径专业,适应数字化、综合化和智能化的发展趋势,在自动控制、信息技术与电气工程领域为国家培养高级工程技术和管理人才。 自动化专业是国防科工委重点专业,本专业适应数字化、综合化和智能化的发展趋势,以电为主、机电结合,以自动控制和计算机信息处理为基础进行特色专业教育。

2020年北京航空航天大学自动化学院研究生考研一志愿复试分数线及拟招生人数

北航自动化科学与电气工程学院 2020年硕士研究生复试资格基本线 我院根据校研究生院下达的招生计划情况及上线情况,经学院硕士研究生招生工作小组讨论建议、并经校研究生招生领导小组审核,自动化科学与电气工程2020年硕士研究生复试资格基本线如下: 说明: 1.我院实行差额复试,差额比例一般总体不低于120%。初试各项成绩(包括 总分和单科)达到报考第一志愿专业我院复试资格基本线要求的考生名单请点击附件下载查看。我院对一志愿满足学院线的考生发送邮件,请注意及时接收和回复,考生若有问题亦可通过邮件进行咨询。 2.我院复试实行按专业和研究方向分组复试,其中081100控制科学与工程下 设7个研究方向,分别为:01控制理论与控制工程(301系),02模式识别与智能系统(301系),03自动测试与智能检测(302系),04导航、制导

与控制(305系),05智能与自动化安全(306系),06智能仪器与智能机器人(307系),07仿真与智能制造(308系);085400电子信息专业下设9个研究方向,分别为:01控制理论与控制工程(301系),02模式识别与智能系统(301系),03自动测试与智能检测(302系),04导航、制导与控制(305系),05智能与自动化安全(306系),06智能仪器与智能机器人(307系),07仿真与智能制造(308系),08机械电子工程(303系),09电气工程(304系);其他专业不区分研究方向。各研究方向拟招生人数详见复试方案,请各位上线考生提早做好填报研究方向志愿准备(不区分研究方向的专业不需填报志愿)。 3.我院080200机械工程(学术学位)和085500机械(专业学位)接收调 剂,080800电气工程(学术学位)专业将根据一志愿复试录取情况,确定是否接收调剂。有关调剂要求将于5月20日左右通过学院网站公布,并同时在教育部调剂系统发布,通过教育部调剂系统进行。 4.我院将按照学校统一部署公布一志愿复试方案,请广大考生及时关注学院 网站信息。

相关主题
文本预览
相关文档 最新文档