当前位置:文档之家› 动目标显示与动目标检测

动目标显示与动目标检测

动目标显示与动目标检测
动目标显示与动目标检测

6.5 动目标显示与动目标检测

引言

1.目标回波频谱

6.5.1 目标回波和杂波的频谱 2.杂波频谱

原理递归

传统非递归

6.5.2 MTI滤波器零点分配算法

滤波器设计优化预测误差算法

结语

原理

MTI+FFT

6.5.3 MTD滤波器滤波器设计点最佳

等间隔最佳

结语

6.5.4 改善因子分析MTI

MTD

6.5 动目标显示与动目标检测

雷达探测的运动目标如飞机,导弹,舰艇,车辆等周围存在各种背景,包括不动的地物和运动着的云雨,海浪或金属丝干扰等。动目标显示(Moving Target Indicator :MTI )与动目标检测(Moving Target Detection: MTD )就是使用各种滤波器,滤去这些背景产生的杂波而取出运动目标的回波。此外也可以通过把雷达安装在山上、增加雷达天线的倾角、安装防杂波网来阻止杂波进入天线;或通过调整雷达天线的波束形式、采用极化技术、降低雷达的分辨单元、在时域采用CFAR 检测、自适应门限、杂波图来抑制杂波。在频域上应用MTI 与MTD 技术可以提高信杂比,改善杂波背景下检测运动目标的能力。本节首先分析目标回波和杂波的频谱特性;然后分别讨论MTI 与MTD 原理及滤波器设计方法;最后分析MTI 与MTD 对改善因子的提高。

6.5.1 目标回波和杂波的频谱

运动目标回波和杂波在频谱结构上有所差别,运动目标检测就是利用这种差别,从频率上将它们区分,以达到抑制杂波而显示目标回波的目的。为此,应首先弄清楚目标和杂波的回波的特性。 (1) 目标回波的频谱

雷达发射相参脉冲串,其脉冲宽度为e T ,脉冲重复频率为r f 。当天线不扫描而对准目标时,所得脉冲为无限脉冲串。调制信号)(1t u 及其频谱)(1f U 分别为

∑∞-∞=???

?

?

?-=n e r

T

nT t rect A t u )(1 (6.5.1)

∑∞

-∞

=-=n r e e r e f n f T f T f T AT f U )()sin()(1δππ (6.5.2)

A 为信号振幅。而高频载波)(2t u 及其频谱)(2f U 为

t t u 02cos )(ω= (6.5.3)

)]()([2

1

)(002f f f f f U ++-=δδ (6.5.4)

发射的相参脉冲串)()()(21t u t u t u ?=,故其频谱)(f U 为

)()()(21f U f U f U ?= (6.5.5)

A

(d)

00

00f τ

-

0f τ

+

f

τ

-+

0f τ

-

f τ

-+0d τ

0d τ

(b)

(a)

固定回波频谱

动目标回波频谱

图6.5.1 发射接收信号时域与频域特性

雷达发射信号通常是窄带信号(如图6.5.1(a)),因而运动目标回波频谱的特征是将发射信号的频谱位置在频率轴上平移一个多普勒频率

λ

r

d v f 2=

(如图6.5.1(c)),d f 的符号由目标运动的方向决定,靠近为正,远离为负。固定回波的频谱与发射信号一致,只是幅度有衰减(如

图6.5.1(b))。

多普勒频率d f 可以直观地解释为:振荡源发射的电磁波以恒速c 传播,当接收者相对振荡源不动,则它的接收频率等于发射频率。当

接收者与振荡源之间有相对接近的运动时,则它接收电磁波的频率大于振荡源发射频率,当两者背向运动时,结果相反。运动目标与雷达有相对运动,所以存在多普勒频率d f 。定义固定回波的波程为02R ,0R 为雷达到目标的距离,则回波到达雷达的时间为0

2R c

;由于运动目标与雷达有相对运动,其波程为02()R R -?,时间为

02()

R R c

-?。

雷达工作时,天线以各种方式进行扫描,这时收到的回波脉冲为有限数,且其振幅受天线方向图调制。设天线方向图可用高斯函数来表示,则收到的回波脉冲串的包络函数可写为

()2222ex p 2)(t t m σπσπ-= (6.5.6)

σ是和天线波瓣宽度及扫描速度有关的参数。σ减小,表示观察时间增加。

天线扫描时收到的回波信号,可以用)(t m 和无限脉冲串)(t u r 的乘积表示。)(t u r 为天线不扫描时的回波脉冲串,即

)()()(t u t m t u r m = (6.5.7)

其包络函数)(t m 的频谱为

2

2

2)(σf

e f M -=

(6.5.8)

图6.5.2 天线扫描条件下回波频谱

天线扫描时回波信号的频谱)f U m (为

)()()(f U f M f U r m ?= (6.5.9)

即无限回波脉冲串频谱)(f U r 的每一根谱线均按)(f M 的形状展宽(如图6.5.1(d))。谱线展宽的程度反比于天线波束照射目标的时间θT 。已求出当天线方向图为高斯形时谱线展宽的均方值为

n

f T r

s 265.0265.0==

θσ (6.5.10)

式中:r f 为雷达重复频率,n 为在单程天线方向图3dB 宽度内收到的脉冲数。中频回波信号经过相位检波器后,相当于把中频信号的频谱搬移到零频率附近,根据目标多普勒频移d f 的不同,相位检波后谱线d r f f n ±的具体位置也有差异,每根谱线均按脉冲串包络的频谱形状展宽。

⑵ 杂波频谱

对于固定点杂波,当天线不扫描时,固定杂波的频谱是位于r f n 上的谱线,可以用对消器全部滤去。当天线扫描时,由于回波数目有限,谱线将展宽。由于天线扫描引起双程天线方向图对回波信号调幅,杂波谱展宽可用高斯函数表示为

2

2

20)(s

f

e G

f G σ-= (6.5.11)

其中n s 265.0=σ,n 为在单程天线方向图3dB 宽度内的脉冲数。设θT 为天线照射目标的等效时间,则r f T n θ=,即θσT s 265.0=,即

s σ与目标照射时间成正比。

杂波信号的功率谱的实验公式可近似为

???

????????? ??-==202

2exp )()(f f a g f g f W (6.5.12)

其中:)(f W 作为频率函数的杂波功率谱,)(f g 杂波的傅立叶变换,0f 雷达载波,a 和杂波相关的参数。

杂波频谱可以用杂波频率分布的均方根值)(Hz c σ或速度分布的均方根值)(s m v σ来表示,式(2-12)可写为

????

?

?-=???? ??-=22202208exp 2exp )(v c f W f W f W σλσ (6.5.13)

其中:2

0g W =,λσσv

c 2=,0f c =λ ,可得2

28v

c a σ=。2

c σ为杂波功率谱方差。v σ为杂波内部起伏运动速度的均方根值,和工作波长无关。相同的v σ值,对不同的雷达工作波长产生的杂波谱线的宽度也是不同的。工作波长越短,杂波谱的展宽越严重。图6.4.1中的载波频率

0f 为1GHz 。

00d

f f +0d

0d d

0d

(b)

(c)

(d)

图6.5.3 杂波的功率谱

在接收机前端引入发射信号作为基准电压,可得到收发频率的差频电压,即多普勒电压。图6.5.4给出各主要点的频谱图。

00d

f f +0d

0d

f f -d

0d

(b)

(c)

(d)

图6.5.4 主要点频谱图及滤波器特性

相位检波后的频谱如图(d),固定杂波的频谱在零点,图中给出的是一条谱线。实际中,由于天线扫描收到的回波脉冲数有限,谱线会有一定程度的展宽,由于系统不稳等原因,杂波谱

中还会出现杂乱分量,把它近似看成均匀谱。本节要

设计的滤波器特性如

图6.5.5 地杂波的功率谱

图6.5.4(d)所示,取出动目标频谱,滤除杂波频谱。

滤波器凹口和通带的平坦程度是关注的特性。动目标

滤波器要满足的要求:(1)凹口适当扩宽,

与杂波梳状谱宽度相当。(2)杂波有多普勒频移,即不在零频时,滤波器凹口要对准杂波谱平均多普勒位置。(3)凹口深度能使杂波尽量多的衰减,目标回波能在尽可能大的速度范围内有较大输出。 改善因子是综合评定滤波器性能的参数。

6.5.2 MTI 滤波器 (1)MTI 滤波器原理

当杂波和运动目标回波在雷达显示器上同时显示时,会使目标的观察变得很困难。如果目标处在强杂波背景内,弱的目标淹没在强杂波中,特别是当强杂波使接收机发生过载时,将很难发现目标。目标回波和杂波在时间域上难以区分,但由于目标的速度远大于背景的速度,目标回波的多普勒频移远大于背景的多普勒频移,从而可在频域上区分目标与杂波。动目标显示滤波器(MTI )利用运动目标回波和杂波在频谱上的区别,有效地抑制杂波而提取信号。在雷达上加装MTI 滤波器,大大的改善了雷达在强杂波背景中检测运动目标的能力。MTI 有多种实现方法,包括传统的相消器和各种优化的FIR 滤波器。采用重复参差和时变加权的MTI 体制可以克服盲速。

MTI 和MTD 是对多个回波数据进行处理,因此数据需要进行存储。其中每一行的数据是沿距离单元采样值,反映了某一距离单元的信号特征。每一列的数据为从相同的距离单元,依次间隔一个脉冲重复周期的采样值,这些数据的变化反映了在同一距离单元目标的变化情况。

MTI 和MTD 都是对同一距离单元的数据,即同一列的数据进行处理。通常MTI 雷达滤波器如图6.5.6所示图,图中Tr 为雷达重复周期,在这里作为延迟线的延迟时间,i w 为滤波器权系数值。

图6.5.6 MTI 滤波器的组成

传统的MTI 相消器可以滤除零频杂波,性能不高,改善因子在20dB 左右。优化的MTI 滤波器可以满足上一节提出的动目标滤波器要求,现在就滤波器的设计讨论如下。

(2) MTI 滤波器设计

1.传统MTI 滤波器设计:

在相位检波器输出端,固定目标的回波是一串振幅不变的脉冲,而运动目标的回波是一串振幅调制的脉冲。在把回波信号送到终端显示器前,必须先消除固定目标回波。最直接的方法是将相邻重复周期的回波信号相减,则固定目标回波由于振幅不变而互相抵消,运动目标回波相减后剩下相邻重复周期振幅变化的部分。

传统的MTI 滤波器有两种形式:非递归形和递归形。

(a) 非递归滤波器

不带反馈的滤波器称为非递归型滤波器。下面以一次对消器为例进行说明。

一次对消器,即二脉冲对消。其结构图如图6.5.7(a),对消器的输入X(z)相位检波器的输出信号。它是一个单零点系统,零点位置在1z =±,令s j ω=,即j T

z e

ω=在Z 平面上是单位圆。

(a)框图 (b) 零点图

图6.5.7 一次对消滤波器

由相位检波器输出的脉冲包络为

)(cos )(0t U t u ?= (6.5.14)

?为回波与基准电压之间的相位差

000

0)

(2)(?ωωω?-=--=-=t c

t v R t t d r r (6.5.15)

回波信号按重复周期r T 出现,将回波信号延迟一周期后,其包络是

])(cos[)(00'?ω--=r d T t U t u (6.5.16)

??

?

??--??? ??=-=?00'2sin 2sin 2?ωωωr d d r d T T U u u u (6.5.17)

输出包络为一多普勒频率的正弦信号,其频率为

2

sin

20r

d T U ω

(6.5.18)

为多普勒频率的函数。当πωn

T r d =2(n=1,2,3,…)时,输出振幅为零。这时的目标速度正相当于盲速,盲速是运动目标回波在相位检波

1

()1H z z -=-

器的输出端与固定目标回波相同,因而经对消设备后输出为零。

下面从频率域来说明对消器的工作原理。对消器的输出为

)1(0r T j i e u u ω--= (6.5.19)

对消器的频率响应特性为

)2

(0sin 2sin )cos 1(1)(r r fT j r r T j i

e T

f T j T e u u

j H ππ

ωπωωω--=+-=-== (6.5.20)

图6.5.8对消器框图及其输出响应

其频率响应特性如图6.5.8。对消器等效于一个梳状滤波器,其频率特性在r nf f =各点处均为零。固定目标频谱的特点是它的谱线位于r nf 点上,因而在理想情况下,通过对消器后输出为零。当目标的多普勒频率为重复频率的整数倍时,其频谱结构也有相同的特点,故通过上述梳状滤波器后无输出。

采用级联二项式形的N 次对消器(1+N 脉冲对消)的权系数为()2

1x -展开式的系数,其计算式为

()

()()1,...2,1,!

1!1!

11

+=-+--=-N i i i N N w i i (6.5.21)

非递归滤波器中的权系数变化它的输出也会变化。MTI 滤波器中的权系数可选二项式系数,也可选其它的系数。在不同的准则下,系数也不同。在没有先验知识的情况下,二项式系数式一个很好的选择。

如果已知信号和杂波的协方差阵,在最优MTI 滤波器的准则是改善因子最大化时,则可以求其最优化的权系数。

如果杂波协方差阵为:)(T

c c E A *=,信号协方差阵为:)(T

s s E S *=。其中:权系数向量为)1(?=N w ,信号向量为)1(?=N s ,杂波向量为)1(?=N c ,*代表共轭,T 代表转置。则FIR 滤波器的最优化权系数为

*1s kA w i -= (6.5.22)

式中k 为任意常数,1

-A 为杂波协方差阵的逆,s 为目标回波信号向量。

最优化权系数是相对于一定准则的,准则不同结果也不同。如果没有先验知识或先验知识不准确,则求得的最优权系数的实现效果可能会小于二项式权系数实现的结果。 (b) 递归滤波器

带有反馈的滤波器称为递归型滤波器。递归型一次对消器的传递函数为

1

11

11)()()(----=

=z K z z X z Y z H (6.5.23)

递归滤波器的框图和频响如图6.4.4所示。虽然递归滤波器有较好的频响,可以减小滤波器的阶数,但它的暂态响应差,限制了它在雷达信号处理中的应用。

(a) 递归滤波器框图

图6.5.9 波器框图及其输出响应

2.优化MTI 滤波器设计:

在自适应杂波抑制的应用环境下,我们需要的理想滤波器是在杂波分布的频率点处,能使杂波得到最大限度的抑制,而在其他频率点具有最大平坦幅度。 (a)零点分配算法

零点分配算法是在设计带阻滤波器时,在凹口处设置频率响应零点的一种方法,他不需要计算杂波的相关系数。 的延迟时间是i τ。FIR 滤波器在t 时刻的输出

对于FIR 滤波器,如图6.5.10,设第i 阶

的权系数为i w ,i=0,1,2,…,N ,滤波器

是前几个时刻输入信号的加权和。N 阶滤波器

的传输函数为:

图6.5.10 FIR 滤波器框图

()20

i N

j fT i i H f w e π-==∑

(6.5.24)

式中,T 0=0且1

i

i k

k T τ

==

∑;i =0,1,2,…, N 。将()H f 在f =f 0处展开成泰勒级数:

()()()()200000'()''/2()H f H f H f f f H f f f =+?-+?-+

(6.5.25)

式中:

()

()()0

200

2i N

k

k j f T k i i i H

f j T w e π

π-==-∑ (6.5.26)

要在f =f 0处设计带阻滤波器,为使在f =f 0处附近幅度最大平坦,则必须使泰勒级数展开式中0()k

f f -(k =0,1,2,…,N -1)的系数为零,即

()()00k H f =, k =0,1,2,…,N-1 (6.5.27)

这样, 就产生了N 个关于w i ,的齐次线形方程。

020

0i N

j f T k

i

i i T

w e π-==∑ k =0,1,2,…,N-1 (6.5.28)

其中,001T =,0w 为一个常数。将式(6.5.28)写成矩阵形式:

0A W w U ?=- (6.5.29)

(b) 递归滤波器频率响应

其中:()12,,,T

N W

w w w =,()1,0,,0T U =

0102

00102

00102

2222221222211112N N N j f T j f T j f T j f T j f T j f T N j f T j f T j f T N N N N e e e Te T e T e A T e

T e T e πππππππππ------------??

??

?

?

=?

???????

(6.5.30)

取i τ=Tr,解矩阵方程(6.5.29)得权系数向量W 带入式(6.5.24)即得滤波器的频率响应如下:

归一化频率f/fr

频率响应H (f )(d B )

归一化频率f/fr

频率响应H (f )(d B )

(a)凹口对准0频点杂波 (b)凹口对准动杂波

图6.5.11 零点分配算法MTI 滤波器频率响应

目标速度为对应r f 的整数时倍称为盲速。由图6.5.11, MTI 滤波器会在整数倍r f 的多普勒频率处形成凹口。因此,当运动目标的多普勒频率等于整数倍r f 时,这些运动目标也会被MTI 滤波器滤掉。使用参差变T 可以将第一个盲速扩大到可以接受的范围,即使其大于可能出现的目标最大速度。如图6.5.10,设计一个3阶3参差变T 滤波器,123::11:12:13τττ=,得MTI 滤波器频率响应如下:

-250

-200-150-100-500归一化频率f/fr

频率响应H (f )(d B )

-250

-200-150-100-500归一化频率f/fr

频率响应H (f )(d B )

(a)凹口对准0频点杂波 (b)凹口对准动杂波

图6.5.11 参差变T 零点分配算法MTI 滤波器频率响应

(b)预测误差算法

利用预测误差算法设计MTI 滤波器时利用到杂波谱的相关系数:

11

2

22

11

2

[2()](,)exp{}2j i d f m m d m m ij f

f j T T f r R i j πσσ--==+??--==∑∑ (6.5.31) 其中i T = 1

i

i

i τ

=∑,d f 是杂波的多普勒频率,改变d f 的取值可以改变滤波器凹口的位置。N 阶滤波器的权值1W ,2W …n W 通过解下面方程得

2223211232

3332132

2

1n n n n nn n n r r r w r r r r w r r r r w r ??????

???????

?????=-??????????????????

(6.5.32)

采用预测误差算法得到的MTI 滤波器频率响应特性如图6.5.12所示:

-1

1234

-100

-80-60-40-20

0归一化频率f/fr

频率响应H (f )(d B )

246810

-80

-60

-40

-20

归一化频率f/fr

频率响应H (f )(d B )

(a)等T (b) 参差变T

图6.5.12 预测误差算法MTI 滤波器频率响应

6.5.3 MTD 滤波器 (1)MTD 滤波器原理

早期的动目标显示雷达性能不高,其改善因子一般在20dB 左右。随着在系统设计与实现技术的改进、数字技术的提高,主要依靠信号处理的潜在能力,MTI 雷达的性能还将进一步的改善和提高:

①增大信号处理的线性动态范围;

②增加一组多普勒滤波器,使之更接近于最佳滤波,提高改善因子;

③能抑制地杂波(其平均多普勒频移通常为零)且能同时抑制运动杂波(如云雨、鸟群、箔条等); ④增加一个或多个杂波图,可有帮助检测切向飞行大目标等作用; ⑤增加CFAR 检测电路。

做了上述改进的系统称之为动目标检测(MTD )系统。本节介绍的多普勒滤波器组就是一种MTD 滤波器。 根据最佳滤波理论,当杂波功率谱()C f 和信号频谱()S f 已知时,最佳滤波器的频响是:

-2*()()()

s

j ft S f e H f C f π=

(6.5.33)

式中,s t 是使滤波器能够实现而附加的延迟时间,式(6.5.34)的滤波器可分成两个级联的滤波器1()H f 和2()H f 其传递函数形式为:

11

()()

H f C f =

(6.5.34)

-2*

2()()s

j ft H f S f e

π= (6.5.35)

粗略的认为,其中1()H f 用来抑制杂波,相当于MTI 滤波器,如图6.5.13(a);2()H f 用来对脉冲信号匹配。 对于相参脉冲串,2()H f 又可以表示为:

22122()()()H f H f H f =

(6.5.36)

式中,21()H f 为单个脉冲的匹配滤波器,通常在接收机中放实现,22()H f 是对相参脉冲串进行匹配,它利用了回波脉冲串的相参性进行相参积累。22()H f 是梳齿形滤波器,齿的间隔为脉冲重复频率r f ,如图6.5.13(b)中0号滤波器;齿的位置取决于回波信号的多普勒频移,而齿的宽度应和回波谱线的宽度一致。由于实际中d f 不能预知,因此要用一组乡里且部分重叠的滤波器组(如图6.5.13(b)中0-7号滤波器),覆盖整个多普勒频率范围,这就是多普勒滤波器组所要完成的功效。

r

(a) 动目标显示滤波 (b)多普勒滤波器组特性

图6.5.13 MTI 与MTD 滤波器特性

迟线,每根延迟时间1r r T f =,经过各脉

输入N 个脉冲的横向滤波器组有N-1根延窄带滤波器组,频率覆盖0到r f 。如果要冲不同的加权并求和后,可以做成N 个相邻的同时得到N 个滤波器的响应,则在图6.5.14中横向滤波器的每一抽头应该有N 个分开的

器组成框图

输出并相应的加权。 图6.5.14 MTD 滤波设加在第k 个滤波器的第i 个输出端头的加权值

为: [2(1)]

,1,2,j i k

N ik w e i N π--==

(6.5.37)

k 表示标号从0到N-1的滤波器,每一个k 值对应一组不同的加权值,相应地对应一个不同的多普勒滤波器响应。图6.5.13(b)中所示滤波器响应是N=8时按式(6.5.38)加权所得各标记k 的滤波器频率响应,k 取0-7。第2个滤波器,即当k=1时,峰值响应产生在8r f ,以及8r r f f +,

2r r f f +… k 取其他值,可以此类推。

可写出横向滤波器按式(6.5.38)加权时的脉冲响应及其频响函数:

2(1)1

()[(1)]N

j i k N k i h t t i T e πδ--==--∑

(6.5.38)

22(1)[]

1

()N

j ft

j i fT k N k i H f e

e

ππ---==∑ (6.5.39)

滤波器振幅特性;

2(1)[]1

sin[()]

()sin[()]

N

j i fT k N k i N fT k N H f e fT k N πππ--=-=

=

-∑ (6.5.40)

滤波器峰值产生于sin[()]0fT k N π-=。0号滤波器的中心位置在零频以及重复频率的整数倍r nf 处,通过没有多普勒频移的杂波,因此对地杂波没有抑制能力。所以MTD 滤波器常常和MTI 滤波器配合使用。

用横向滤波器实现窄带滤波器可不采用式(6.5.38)所示的权值,而是根据特定的需要灵活地选用不同的加权矢量,可以根据不同频率处设置特性相异的滤波器。

每个滤波器都有一定的副瓣,幅瓣的大小决定着杂波抑制能力的大小。为了压低副瓣,一般都需要对数据做加窗处理。目前常用的窗函数有矩形窗、三角形窗、Hanning 窗、Hamming 窗、Blackman 窗、Gaussian 窗、Kaiser 窗、Bartlett 窗。MTD 系统的实现通常采用MTI+FFT 的形式。

MTD 滤波器组对于动杂波的抑制效果较好。由于MTD 滤波器组中每个窄带滤波器的主瓣宽度只占对消器通频带的1/N 宽度,因而输出端的信噪比有相应提高:白噪声提高近N 倍;有色杂波各滤波器输出的信噪比提高程度因距离杂波中心及杂波谱宽的不同而不同。MTD 滤波器组能较MTI 对消器提高改善因子是因为细分频带后,各滤波器的杂波输出功率只有各自通带范围内的杂波谱部分,而不是整个多普勒频带内的杂波功率。副瓣的影响会降低改善因子,解决办法是MTD 滤波之前先采用MTI 对消处理;或者采用加权法降低各个滤波器的副瓣,但代价是滤波器主瓣有所加宽。(改善因子的分析可以放在后一节)

(2)MTD 滤波器设计

(a)基于MTI+FFT 的MTD 滤波器组

基于MTI+FFT 的 MTD 处理分两步进行:首先进行MTI 滤波,主要用于抑制地物杂波,一般用三脉冲对消器;然后再按式(6.5.38)进行FFT 处理。FFT 的每点输出,相当于N 点数据在这个频率上的积累,也可以说是以这个频率为中心的一个带通滤波器的输出。对N 个数据的FFT 的运算量中复乘法次数为log 2N

N ?,比DFT 的复乘法次数2

N 要少,特别是N 很大时,FFT 的运算量要少得多。

每个滤波器都有一定的副瓣,副瓣的大小决定着杂波抑制能力的大小。为了降低副瓣,一般都需要加窗。目前常用的窗函数为海明窗(Hamming),加窗可降低副瓣电平,如图6.5.15,副瓣电平从-20dB 左右下降到-40dB 左右,但各滤波器的主瓣有一定展宽。

k

归一化频率f/fr

频率响应 H (f )(d B )

归一化频率f/fr

频率响应 H (f )(d B )

(a)8点FFT 滤波器组 (b) 加

hamming 窗8点FFT 滤波器组

图6.5.15 FFT 滤波器组频率特性

(b)点最佳MTD 滤波器组

点多普勒横向滤波器只在所需的多普勒处理波段中的某一点上达到最佳,而在所有其它点都是不匹配的。点最佳MTD 滤波器组就是用许多点横向滤波器填满感兴趣的多普勒区域。尽管实际应用中常用n 个点滤波器等间隔填满多普勒范围,使n 等于处理的相干脉冲数,但我们也可以设置任意个横向滤波器个数。

10 1.0

1010101010101010

PRF

零多普勒x=n fd/fr

图6.5.16 点最佳MTD 滤波器组多普勒覆盖波段示意图

点多普勒横向滤波器复数输入信号表示为:

1

()d n j t

i i s Ae

t iT ωδ-==-∑

(6.5.41)

式中A 是幅度,d ω是多普勒角频率,n 是处理脉冲数,T 是雷达脉冲间的间距。信号矢量可以表示为

T

12(,,...)n S s s s = ,

(6.5.42)

这里:

(1)d j K T

k s Ae ω-= ;k=1,2…n (6.5.43)

协方差矩阵:

*S M ()T

E ss = (6.5.44)

对它归一化(处以2

A )

(1)(1)1M 1d d d d j T j n T j T s j n T e e e e

ωωωω----??

??

??=???

?

??

? (6.5.45) 归一化学方差矩阵的元素s m 为:

(1)(1)()d d d j k T j j T j k j T skj m e e e ωωω----==

(6.5.46)

式中k 为行数,j 为列数。k=1,2,…n; j=1,2…n

对应于1n s R M -的最大本征值的矢量即为最佳权值。但不一定非要求解本征矢量,现给出了用统计检测理论求出的最佳权值:

1*

0n W R S -=

(6.5.47)

其中n R 为杂波为干扰矩阵,可以表示为杂波协方差矩阵c R 和接收机噪声矩阵之和

2n c n R R I σ=+ (6.5.48)

其中

12c c c R R R =+ (6.5.49) 式中1c R 地物杂波,2c R 为气象杂波

1112c 1

1R 1n c n ρρρσρ--??????=?????

? (6.5.50) 其中ρ是杂波相关系数,如式(6.5.31)。

归一化

频率f/fr

H (f )(d B )

归一化频率f/fr

H (f )(d B )

(a)N=10,10脉冲对消滤波器组频响 (b)k=5时 单个滤波器频响

图6.5.16 点最佳MTD 滤波器组频率响应

(c)等间隔最佳MTD 滤波器组

等间隔多普勒横向滤波器在某个间隔内检测性能最佳,这个间隔是多普勒处理段的一个子集。把多普勒覆盖段分成相等的间隔,每个间隔内都设计一个最佳横向滤波器,这就构成了一个多普勒处理机。

1

2

3

4

5

6

7

8

9

10

1.0

多普勒偏移/雷达PRF

图6.5.17 等间隔最佳多普勒覆盖波段示意图

等间隔多普勒处理机反映出一个同输入信号多普勒偏移的分布有关的补偿性的假设。这种处理机在比点多普勒处理机在更宽的区域上得到最佳,并且具有MTI 处理机所没有的S/N 改善。但是它的最佳权值和改善因子的计算比其它类型的处理机要困难。 等间隔多普勒处理机的目标多普勒概率分布为:

,(21)/2(21)/2()0,

d d nT k nT f k nT

p f -≤≤+?=??

(6.5.51)

其中k=0,1,2,…n-1为滤波器数。根据在所期望的多普勒频率上的概率分布,在每个多普勒频率上对上式求平均得到信号协方差矩阵。最后得到的归一化信号协方差矩阵元素为:

2()/sin[()/]

()/j k i l n

skl i l n m e i l n

πππ--=- (6.5.52)

式中i 是行数,l 是列数,k 是滤波器数,n 是相等的间隔数(也就是所处理的脉冲数)。和-1n S R M 的最大本征值相对应的本征向量为求得的最佳权值。

下面我们将用比较直观的方式进行讨论,以获得一个接近于一般解的简单解。在多普勒域中任一点上的最佳权值解为,多普勒域中某个

间隔上的准最佳解可以通过在这个间隔上的最佳权值的平均值求得,因而这只需使用概率分布对1*

0n w -=R s 表示的点最佳加权求平均即可。

最终得到的等间隔最佳复数加权为:

11sin(1)/sin(1)/cos((1))sin((1))

(1)/(1)/n

i d d ij ij j j n j n w j T j j T j n j n αωαω-=??

--=---??--??∑ (6.5.53)

式中ij α为协方差逆矩阵的元素,n 为等间隔数,d ω是间隔中心处的多普勒角频率,T 是雷达脉冲间距。也可以解释为最佳点加权,只不过用平均信号向量代替了单一信号。使用概率分布对其求平均可得到平均信号向量。

11

sin(1)/sin(1)/[cos(1)sin(1)](1)/(1)/n

i ij d ij d j j n j n

w j T

j j T j n j n

ππαωαωππ-=--=-----∑ (6.5.54)

归一化

频率f/fr

H (f )(d B )

归一化频率f/fr

H (f )(d B )

(a) N=10,8脉冲对消滤波器组频响 (b)k=5时单个滤波器频响

图6.5.18 等间隔最佳MTD 滤波器组频率响应

6.5.4 改善因子分析

外部杂波对动目标显示雷达性能的限制远大于系统内部噪声,当杂波的频谱很窄时,二脉冲或三脉冲对消即可达到需要的效果,而当杂波的频谱很宽时,则需要多脉冲对消才能达到需要的效果。评价MTI 雷达性能最常用的性能指标是改善因子,定义为

CA S S C C S S C S C S I ave

i o o i ave i o ave i i o o ?????

??=????? ??=???? ??= (6.5.55)

其中:o o S 为输出信号杂波比,i i C S 为输入信号杂波比;CA 为杂波衰减。取平均是对所有感兴趣的目标多普勒频率。对于一次对消器,

CA 为

?

?∞

=

2

)()()(df

f H f W df

f W CA (6.5.56)

式中)(f H 式对消器的频率响应函数。延迟T 的一次对消器的频率响应函数是

)exp()sin(2)2exp(1)(T f j T f j T f j f H πππ-=--= (6.5.57)

把式(6.4.26)、式(6.4.13)代入式(6.4.25),有

)

2ex p(15

.0sin 4)2ex p()2ex p(2220

22200

220c c c T Tdf

f f W df

f W CA σππσσ--=

--=

?

?∞∞

(6.5.58)

由于分母的指数部分很小,因而可以用级数展开是的前两项代替,即

2

022

2222222

2162f af f f CA r v r c r πσπλσπ=

== (6.5.59)

一次对消器的平均增益()ave i o S S 为2,因此,一次对消器的改善因子为

2

022

222

2222182f af f f I r v r c r C

πσπλσπ=

== (6.5.60)

由于二项式系数的对消器为一次对消器的级联,二次对消器的改善因子为

4

044

24444444

221288f f a f f I r v r c r C

πσπλσπ=

=? (6.5.61)

有1-=N N l 根延迟线的N 次对消器的改善因子通用表达式为

l

l N c r l N NC

f N I 22!2????

?

?=πσ (6.5.62)

对于相参MTI 的改善因子可估算为[34]

∑∑∑-=-=-=-=

101

10

2

)

(n j n k c

k

j

n j j

c k j w w w

I ρ

(6.5.63)

其中∑-==

1

2n j j

w

G 为MTI 的增益,j w 为MTI 的实权,()?c ρ为杂波相关系数,n

为作MTI 处理的脉冲数。对高斯形杂波,有

[]()2

)

1(2)((exp )(2

2

j i c c j i j i -=Ω--=-ρρ (6.5.64)

其中T c σ=Ω,c σ为杂波的标准方差,T 为雷达重复周期。采用二项式系数 的MTI 对消器的改善因子如图6.4.5所示。

图6.4.5 二项式系数的MTI 改善因子(N=2,3,4)

点最佳MTD 滤波器组设计算法的改善因子是将式(6.5.48)代入*

*T s f T

n I =w M w w R w ,可得:

21

2

**

1

01

21

2

*1

01

2Re()cos()Im()sin()2()Re()

n

n n i

i j d i j d j i j i n

n n i

i j j i f j i w

w w j i T w w j i T w

i j w I w ωωρ--===+--===+??+---??+=

-∑∑∑∑∑∑ (6.5.65)

上式就是计算任意一个多普勒频率d ω处改善因子的一般表达式。但必须记住上式中的权值要在横向滤波器的最佳点多普勒频率处计算。最佳点的改善因子:

11

11

2cos()n n n

f ii ij d i i j i I j i T ααω-===+=+-∑∑∑ (6.5.66)

等间隔最佳MTD 滤波器组的改善因子,是用(6.5.55)的平均加权求解改善因子:

21

2

**

1

01

21

2

*

1

01

2Re()cos()Im()sin()2()Re()

n

n n i

i j d i j d j i j i n

n n i

i

j j i j i f w

w w j i T w w j i T w

i j w I w ωωρ--===+--===+??+---????+-=

∑∑∑∑∑∑ (6.5.67)

对于相等数目的分布滤波器,等间隔最佳横向滤波器具有比点最佳滤波器更均匀的响应且在零多普勒频率附近时有更好的响应,但点最佳设计的改善因子峰值超过等间隔设计的峰值。

经典雷达资料-第16章 机载动目标显示(AMTI)雷达-1

第16章机载动目标显示(AMTI)雷达 FRED M. STAUDAHER 16.1 采用AMTI技术的系统 机载搜索雷达最初是为远程侦察机探测舰艇研制的。第二次世界大战后期,美海军研制了几种机载预警(AEW)雷达,用来探测从舰艇雷达天线威力区之下飞近特遣舰队的低空飞机。在增大对空和对海面目标的最大检测距离方面,机载雷达的优点是显而易见的,只要了解下述情况就很清楚了,高度为100ft的天线桅杆,其雷达视线距离只有12n mile,而与其相比,飞机高度为10 000ft时,雷达视线距离则为123n mile。 神风突击队袭击造成多艘哨舰的损失引起了机载自主探测与控制站的设想,后来这种系统发展成为一种用于洲际防空的边界巡逻机。 E—2C航空母舰舰载飞机(如图16.1所示)使用机载预警雷达作为其机载战术数据系统中的主要传感器。这种雷达的视界很宽,用于检测海杂波和地杂波背景中的小飞机目标。由于其首要的任务是检测低空飞行的飞机,因此这种雷达就不能靠抬高天线波束的仰角来消除杂波。AMTI雷达系统就是在这种情况下发展起来的[1]~[3],与前一章中探讨的地面雷达的MTI 系统相似[1][4]~[6]。 图16.1 带有旋转天线罩的E—2C空中预警机 在截击机火炮控制系统中,AMTI雷达系统还可用来捕捉和跟踪目标。在这种场合中,雷达仅需抑制指定目标附近的杂波。因此,在目标所处的距离和角度扇形区内可将雷达优化到最佳状态。MTI系统也可以装在侦察机或战术歼击-轰炸机上用来检测地面运动的车辆。由于目标速度低,因而采用较高的雷达频率以获得大的多普勒频移。因为背景杂波通常很强,故这些雷达能够有效地采用非相参MTI技术。 高空、高机动、高速度的环境条件及尺寸、重量、功耗的限制给AMTI雷达设计者带来了一系列的特殊问题。本章将专门探讨机载条件下如何处理这些特殊问题。

基于opencV的动态背景下运动目标检测及跟踪(修改版)

基于openCV的动态背景下的运动目标检测 摘要:介绍在动态背景下对视频图像序列进行运动目标的检测,主要包括三个步骤,分别是运动估计,运动补偿和目标检测。在运动估计中采用的主要是基于特征点匹配算法。这种算法与传统的块匹配算法最大的好处在于它的数据量少,计算简单迅速而且图像的匹配可靠性更高。最后用计算机视觉类库openCV进行实现。 关键词:运动目标检测;openCV;特征点匹配 Moving Object Detection in the Dynamic Background Based on openCV Abstract:Introducing a moving object detection algorithm of the dynamic background in the video image sequence,which includes three steps. They are motion estimation, motion compensation and object detection. At the motion estimation, we take an algorithm based on the feature points matching. The advantages of this algorithm is that it needs fewer data and indicates faster calculating speed compared to the block matching algorithm. What’s more, the matching of the video image sequence is more reliable. Then used openCV realized the algorithm. Keywords: moving object detection; openCV; feature points matching 引言 在生活中摄像头可以说随处可见,我们经常需要对视频中的运动目标进行相关操作,这就设涉及到了对运动目标的检测及跟踪。作为视觉领域的一部分,它不仅对我们的生活,在军事,医学等各种领域里都有着广泛的影响。 所谓运动目标的检测就是在一段序列图像中检测出变化区域,并将运动目标从背景图像中提取出来[2],它是基础,能否正确的检测与分割出运动目标对后续的工作有着巨大的影响。常见的运动目标检测方法有:背景差分法,帧差法,累积差分法,光流法。本文主要介绍的是一种在动态背景下对运动目标进行检测的算法。 检测算法介绍 检测算法有很多种,不同的算法有他们各自的利与弊。背景差分法:是事先将背景图像存储下来,再与观测图像进行差分运算,实现对运动区域的检测。这种方法能得到较为完整的运动目标信息,但背景图像必须随着外部条件比如光照等的变化而不断更新,所以背景模型的获取和更新比较麻烦。帧差法:直接比较相邻两帧图像对应像点的灰度值的不同,然后通过阈值来提取序列图像中的运动区域[2]。这种方法更新速度快,算法简单易实现,适应性强,不需要获取背景图像。但是背景与运动目标间需要有一定程度的灰度差,否则可能在目标内部产生空洞,不能完整的提取出运动目标。为了改进相邻两帧间的差分效果,人们提出了累积差分法。累积差分法是利用三帧图像计算两个差分图像,再令其对应像素相乘的算法。它通过分析整个图像序列的变化来检测小位移或缓慢运动的物体。光流法是在时间上连续的两幅图想中,用向量来表示移动前后的对应点,在适当平滑性约束的条件下,根据图像序列的时空梯度估计运动场,通过分析运动场的变化对运动目标和场景进行检测和分割。 上面的几种算法都是基于静态背景下的方法,下面主要介绍动态背景下运动目标的检测。 因为生活中我们在很多情况下背景图像都不是静态的,有时摄像机都是安装在一个运动

机动目标的追踪与反追踪的模型完整版123

(由组委会填写)第十一届华为杯全国研究生数学建模竞赛 学校大连理工大学 参赛队号10141005 队员姓名1.鲁欢 2.候会敏 3.程帅兵

(由组委会填写) 第十一届华为杯全国研究生数学建模竞赛 题目机动目标的跟踪与反跟踪模型的建立及求解 摘要: 本文主要对机动目标追踪与反追踪模型的建立及求解问题进行了相关计算,讨论结果大致如下: 问题一,根据附件中的数据,利用数值法求解各个时刻点处的加速度,挑出加速度数量较大的时刻,并绘出矩形图,以加速度持续较大的时刻点为机动时间范围,并进行统计其大小以及方向,追踪模型则是依据现时刻以及前一时刻估计出的的物理量如位置速度加速度等,并根据数据统计出目标的机动能力即两时刻加速度最大该变量作为下一时刻的加速度,来计算在这种极限状态下目标向四周逃离的最远边界,因而形成一个区域,其中心即为雷达天线下时刻所指方向。航迹计算将三雷达测得的数据转换到同一坐标系中在进行拟合得到。 问题二,首先进行了航迹起始的确定。采用联合概率数据关联(JPDA)算法,通过对确认矩阵拆分得到互联事件及互联矩阵,计算互联事件的概率来进行数据关联,然后按照确定航迹。为避免雷达对于仅有一个回波信号的失跟情况,采取调动多种检测手段对目标密切关注,并改进雷达的内部控制计算算法。 问题三,我们建立了微分方程模型。着重分析了在空间范围内的机动目标的切向加速度以及方向加速度随时间的变化规律。通过运用Excel进行数据的处理计算得出切向加速度以及法向加速度的数值,利用Matlab编程得出其变化规律的轨迹图像。再结合问题一中的追踪模型,得到在数据3情况下的变化规律。通过对比,得出模型一的结论应用于问题三,其结果产生较大的偏差。 问题四,我们建立了卡尔曼滤波预测模型。利用卡尔曼滤波对机动目标进行预测,经过多次循环得出200对的位置坐标,利用Matlab软件给出了模拟后的卡尔曼滤波波形图。再进行对坐标的空间及时间复杂度进行分析,得出最终的结

动态视频目标检测和跟踪技术(入门)

动态视频目标检测和跟踪技术 传统电视监控技术只能达到“千里眼”的作用,把远程的目标图像(原始数据)传送到监控中心,由监控人员根据目视到的视频图像对现场情况做出判断。智能化视频监控的目的是将视频原始数据转化为足够量的可供监控人员决策的“有用信息”,让监控人员及时全面地了解所发生的事件:“什么地方”,“什么时间”,“什么人”,“在做什么”。将“原始数据”转化为“有用信息”的技术中,目标检测与跟踪技术的目的是要解决“什么地方”和“什么时间”的问题。目标识别主要解决“什么人”或“什么东西”的问题。行为模式分析主要解决“在做什么”的问题。动态视频目标检测技术是智能化视频分析的基础。 本文将目前几种常用的动态视频目标检测方法简介如下: 背景减除背景减除(Background Subtraction)方法是目前运动检测中最常用的一种方法,它是利用当前图像与背景图像的差分来检测出运动目标的一种技术。它一般能够提供相对来说比较全面的运动目标的特征数据,但对于动态场景的变化,如光线照射情况和外来无关事件的干扰等也特别敏感。实际上,背景的建模是背景减除方法的技术关键。最简单的背景模型是时间平均图像,即利用同一场景在一个时段的平均图像作为该场景的背景模型。由于该模型是固定的,一旦建立之后,对于该场景图像所发生的任何变化都比较敏感,比如阳光照射方向,影子,树叶随风摇动等。大部分的研究人员目前都致力于开发更加实用的背景模型,以期减少动态场景变化对于运动目标检测效果的影响。 时间差分时间差分(Temporal Difference 又称相邻帧差)方法充分利用了视频图像的特征,从连续得到的视频流中提取所需要的动态目标信息。在一般情况下采集的视频图像,若仔细对比相邻两帧,可以发现其中大部分的背景像素均保持不变。只有在有前景移动目标的部分相邻帧的像素差异比较大。时间差分方法就是利用相邻帧图像的相减来提取出前景移动目标的信息的。让我们来考虑安装固定摄像头所获取的视频。我们介绍利用连续的图像序列中两个或三个相邻帧之间的时间差分,并且用阈值来提取出视频图像中的运动目标的方法。我们采用三帧差分的方法,即当某一个像素在连续三帧视频图像上均有相

基于边际似然比的目标机动的序贯检测方法

第39卷第5期2017年10月 指挥控制与仿真 CommandControl&Simulation Vol.39一No.5Oct.2017 文章编号:1673-3819(2017)05-0054-04 基于边际似然比的目标机动的序贯检测方法 刘一强,许一洋,牛竹云 (北方自动控制技术研究所,山西太原一030006) 摘一要:总结了两大类机动检测的统计检验方法:χ2统计量检验法和似然函数比检验法三将一种基于边际似然函数比的序贯检测方法(SequentialMarginalLikelihoodRatioTest,SMLRT)应用到目标机动检测中,利用机动假设的先验分布推导出机动似然比的近似公式三实验比较了IMM(InteractingMultipleModel,IMM)二MR(MeasurementResidual,MR)二IE(InputEstimation,IE)以及SMLRT在不同机动方式下的机动检测性能,数据表明:当假设的机动加速度变量的先验分布(混合高斯分布)与实际分布较为接近时,SMLRT的机动检测性能优于其他三种检测器的性能三关键词:机动检测;先验分布;边际分布;似然比;序贯检测 中图分类号:TP212;E917一一一一文献标志码:A一一一一DOI:10.3969/j.issn.1673-3819.2017.05.012 MarginalProbabilityRatioBasedonMethodofManeuverSequentialTest LIUQiang,XUYang,NIUZhu-yun (NorthAutomaticControlTechnologyInstituteofChina,Taiyuan030006,China) Abstract:Thispapergeneralizestwoclassesofstatisticalmaneuvertestmethods:χ2statistictestandprobabilityratiotest.Weapplyamethodofsequentialmarginallikelihoodratiotest(SMLRT)tomaneuverdetection.WecomparetheperformanceofthisproposedmethodwithIMM,MRandIE s.SimulationshowsthatSMLRThasitsprioritycomparingothers ,whenthepriordistributionofmaneuveraccelerationfits(MixtureGaussian)totherealdistribution. Keywords:maneuverdetection;priordistribution;marginaldistribution;likelihoodratio;sequentialdetection 收稿日期:2016-09-14 修回日期:2017-04-17 作者简介:刘一强(1985-),男,山西太原人,工程师,研究方 向为信息融合三 许一洋(1988-),男,硕士,工程师三牛竹云(1964-),男,硕士,研究员三 一一机动目标跟踪是近几十年来国内外众多学者研究的热门议题 [1-5] 三机动检测是目标机动跟踪的重要环 节,其主要目的是根据目标运动模式的变化,实时检测目标机动的起始和终止时刻,以自适应地切换跟踪滤波器三一般情况下,自适应跟踪滤波器使用两种滤波器:一种是窄带滤波器,适合于一般情况下的高精度跟踪;一种是宽带滤波器,以有效地跟踪机动目标三机动检测技术大多可归结为二元假设检验问题,即统计量的检测三目前,机动检测主要有两类统计量检测方法:基于χ2统计量的检测和基于似然函数比的检测三基于χ2统计量的检测方法,按照检测变量划分,可分为量测残差检测(MeasurementResidual,MR)和输入量估计检测(InputEstimation,IE);基于似然函数比的检测方法最早于20世纪80年代提出,即使用Shiryaev似然函数的序贯检测方法[6-7],而后改进为广义似然函数比检测(GeneralizedLikelihoodRatio,GLR)[4,8]和边际似然比检测(MarginalizedProbabilityRatio,MLR)[9]的方法三 由于IMM(InteractingMultipleModel,IMM)使用似然函数来计算更新每一模型发生的概率,因此IMM机动检测也是一种似然比检测方法[10-11]三 基于χ2统计量的检验一般针对输入或者量测的 残差统计量同先验设定的阈值进行比较,以完成机动检测三一般情况下,目标运动方程中过程噪声的二阶矩很难给出准确的估计,因而阈值很难先验设定[12];同时,在滤波过程中,一般将目标机动认为是随机噪声,当目标机动时,运动方程的过程噪声ω增加,因而Q增加,从而滤波残差S也显著增加,这种现象称为滤波残差的Q效应三如果在系统过程噪声和机动水平完全未知的条件下,阈值的选取对于检测的准确性和时效性影响较大三 笔者认为似然函数比使用比例的方法突出了假设检验的相对性,对于过程噪声和机动水平未知的情况下,机动检测具有很好的鲁棒性三同时,序贯检测方法与使用滑窗或者累积统计量的检测方法相比,仅使用 当前 的状态估计和预测信息进行似然函数计算,可以有效避免先前非机动统计对当前统计量的影响,一定程度上克服累积统计的记忆性延时三因而基于似然函数比的机动检测方法比基于χ2统计量的硬检测方法具有更好的适应性和精确度,使用序贯检测的方法相较于滑窗检测方法一般会有较低的滞后性三 1一运动模型和机动假设检验方法 1.1一运动模型 目标在非机动段上的运动方程为CV模型: 万方数据

《雷达原理》知识点总结

【雷达任务:测目标距离、方位、仰角、速度;从目标回波中获取信息 【雷达工作原理:发射机在定时器控制下,产生高频大功率的脉冲串,通过收发开关到达定向天线,以电磁波形式向外辐射。在天线控制设备的控制下,天线波束按照指定方向在空间扫描,当电磁波照射到目标上,二次散射电磁波的一部分到达雷达天线,经收发开关至接收机,进行放大、混频和检波处理后,送到雷达终端设备,能判断目标的存在、方位、距离、速度等。 【影响雷达性能指标:脉冲宽度(窄),天线尺寸(大),波束(窄),方向性。 【测角:根据接收回波最强时的天线波束指向 【雷达是如何获取目标信息的? 【雷达组成:天线,发射机,接收机,信号处理机,终端设备(电源,显示屏),收发转换开关 【发射机工作原理:为雷达提供一个载波受到调制的大功率射频信号,经馈线和收发开关由天线辐射出去。 【发射机基本组成:单级振荡式:脉冲调制器,大频率射频振荡器,电源。 主振放大式:脉冲调制器,中间和输出射频功放,电源,定时器,固体微波源(主控振荡器,用来产生射频信号) 工作过程:(1)单级振荡式:信号由振荡器产生,受调制 (2)主振放大式:信号由固体微波源经过倍频后产生,经射频放大链进行放大,各级都需调制(脉冲调制器),定时器协调工作。 优缺点:单击振荡式:简单经济轻便,频率稳定度差,无复杂波形; 主振放大式:频率稳定度高,相位相参信号,有复杂波形,适用频率捷变雷达【发射机质量指标:(1)工作频率(波段)(2)输出功率:影响威力和抗干扰能力。峰值功率(脉冲期间射频振荡的平均功率)和平均功率(脉冲重复周期内输出功率的平均值)。(3)总效率Pt/P。(4)调制形式:调制器的脉冲宽度,重复频率,波形。(5)信号稳定度/频谱纯度,即信号各项参数。 【调制器组成:电源,能量储存,脉冲形成 【调制器任务与作用:为发射机的射频各级提供合适脉冲,将一个信号载到一个比它高的信号上 【仿真线:由于雷达的工作脉冲宽度多半在微秒级别以上,用真实线长度太长,因此在实际中是用集总参数的网络代替长线,即仿真线 【刚/软性开关:刚性开关的电容储能部分放电式调制器,特点为部分放电,通电利索;软性开关的人工线性调制器,特点为完全放电,效率高,功率大。 【接收机指标:(1)灵敏度:表示接收机接受微弱信号的能力。提高灵敏度,减小噪声电平,提高接收机增益。(2)工作频率宽度:表示接收机瞬时工频范围,提高:高频部件性能(3)动态范围:表示正常工作时接收信号强度的范围,提高:用对数放大器增益控制电路抗干扰(4)中频滤波特性:减小噪声,带宽>回波时,噪声大。(5)工作稳定度(6)频率稳度(7)抗干扰能力(8)噪声系数 【收发软换开关工作原理:脉冲雷达天线收发共用,需要一个收发软换开关TR,发射时,TR使天线与发射机接通,与接收机断开,以免高功率发射信号进入接收机使之烧毁;接收时,天线与接收机接通,与发射机断开,以免因发射机旁路而使微弱接收信号受损。 【收发开关组成及类型:高频传输线,气体放电管。分为分支线型和平衡式。 【显示器分类:距离,平面,高度,情况和综合,光栅扫描。 【显示器列举:距离(A型J型A/R型)平面(PPI)高度(E式RHI) 【A型显示器组成:扫掠形成电路,视频放大电路,距标形成电路。

视频图像中运动目标检测方法研究

视频图像中运动目标检测方法研究

毕业论文 题目视频图像中运动目标检测 方法研究

摘要 在很多现代化领域,运动目标检测都显示出了其重要的作用。尤其是近二十年的社会经济的飞速发展,运动目标检测都彰显了其重要性,在航空、通信、航海等各个方面都有关键性的作用,从而使运动目标检测方法的研究成为各国的研究热门课题。 通过阅读大量的相关论文、期刊及其网络资源,了解了高斯背景建模及背景更新的基本原理及思想。在本文中,首先介绍了运动目标检测方法的相关基础知识,如图像的二值化、图像的形态学处理、颜色空间模型。然后重点说明了三种常用的运动目标检测方法的研究,简要阐述了三种研究方法的基本思想。在老师的帮助下进行了相应的实验,最终得出了三种运动目标检测方法的优点和缺点,着重探究了高斯背景建模及其背景更新基本原理及思想。 最后,通过相关的程序及软件对混合高斯背景模型进行了相关的实验,进而发现了混合高斯背景建模算法存在的不足之处,如:高斯背景建模的计算量大、运动目标较大时检测效果差等问题,并对对这些问题提出了相关设想及改进。 关键词:运动目标检测;二值化;图像的形态学处理;高斯背景建模;背景更新 I

ABSTRACT In many modern fields, moving target detection are showing its important role. Especially nearly twenty years of rapid development of social economy, the moving target detection has shown its importance, in various aviation, communication, navigation and so on have a key role, so the study of moving target detection method has become a research hot topic in countries. By reading relevant papers, a large number of journals and cyber source, understand the basic principle and thought of Gauss background modeling and updating the background. In this paper, firstly introduces the basic knowledge of moving target detection method, such as the two values image, morphological image processing, color space model. Then focus on the study of three methods used for moving object detection, a brief description of the basic ideas of the three kinds of research methods. By the experiment, the results of three kinds of method of moving target detection has advantages and disadvantages, this paper emphatically explores Gauss background modeling and background updating basic principle and thought. Finally, through the program and software related to mixed Gauss background model for the relevant experiments, and found the shortcomings, the presence of mixed Gauss background modeling algorithm such as: the problem of computing Gauss background modeling, moving target volume larger detection effect is poor, and on these problems put forward relevant ideas and improvement. Keywords: moving object detection; two values; I I

基于背景差分法的机动目标检测

基于背景差分法的机动目 标检测 This model paper was revised by the Standardization Office on December 10, 2020

LANZHOU UNIVERSITY OF TECHNOLOGY 毕业论文 题目基于背景差分法的机动目标检测学生姓名梅金涛 学号 09250124 专业班级通信工程(1)班 指导教师李立 学院计算机与通信学院 答辩日期 2013年6月17日

基于背景差分法的机动目标检测 Maneuvering target detection based on background difference method 论文作者:梅金涛 拼音:Mei Jintao 学号:09250124

在道路交通管理中,采用摄像头拍摄的道路视频,再用计算机软件处理的方法,则可 以极大的增加方便性和灵活性。本文运动目标检测研究如何让计算机从视频图像序列中获 得物体运动数据。 运动目标检测分为视频读取、灰度处理、视频图像化、运动位置提取这几个步骤。论 文的提取背景是通过算数平均法实现的。与此同时,在运动点团位置提取步骤中,采用了 背景减法直接将目标提取出来。 本文还通过实验分析比较了图像预处理给实验带来的正面效果。实验结果再次证明了 平均法和差分法在图像处理领域的方便性和灵活性。 关键词:读取视频;视频图像化;背景提取;目标提取。 Abstract Road traffic management system often uses camera to capture the roadway with computer software processing method in order to increase processing convenience and flexibility. The topic of this paper is the detection of moving target, and this also means how to get the whole target from the image sequence. Moving target detection is divided into several steps,such as video read, grayscale processing, video visualization, sports location extracting. In this paper, background is extracted by arithmetic mean method. At the same time, the paper in the moving point position extraction step, used the background subtraction to pick up the target directly. This article also analysis the image preprocessing experiment to bring the positive effect by experiment. The experimental results prove that the average method and difference method again in convenience and flexibility in the field of image processing. Keywords: Video Reading、Graphical Video、Background Extraction、Target Extraction.

经典雷达动目标显示MTI雷达

I的影响。这些曲线是在假设天线的方向图只取(sin U)/U第一对零点之间的曲线情况下计算出的。图示的无反馈的几条曲线与如图15.12所示显示的具有高斯形状方向图的理论曲线几乎完全相同(说明反馈对三路延迟对消器影响是一条曲线而不是直线,这是因为在3个零点中,已有两个零点不在原点上,并且根据波束宽度内有14个脉冲的实际情况,它们已沿单位圆移动了最佳量。因此,当波束宽度内有40个脉冲时,这两个零点由于离原点太远而不起太大的作用)。 从理论上讲,采用数字滤波器来合成各种形状的速度响应曲线是可能的[16]。对Z平面上的每对零点和每对极点而言,都需要两个延迟线,用前馈路径控制零点位置,而用反馈路径控制极点位置。 速度响应曲线的形状可以仅用前馈而不用反馈来实现。不采用反馈的主要优点是对消器具有很好的瞬态响应,这是相控阵或系统存在脉冲噪声干扰时的一项重要的考虑因素。如果相控阵雷达使用反馈对消器,则在对消器的瞬态振铃还未下降到容许的电平之前,波束就已经改变了位置,因而许多脉冲不得不落在波束以外。人们已提出一种预置技术来缓解这种现象[20],但仅仅部分地降低瞬态稳定时间。若只使用前馈,则在波束移动后仅有3个或4个脉冲被去掉。采用前馈控制速度响应曲线的形状的缺点是,对每个用于形成速度响应的零点都需附加一个延迟线。此外,若采用零点来形成速度响应,则曲线就会导致改善因子引入一个固有的损耗。这个损失可能很重要也可能不重要,需根据杂波谱展宽的程度和对消所需零点数来确定。图15.25画出了只用前馈形成的四脉冲对消器的速度响应曲线和Z平面图。图中同时还画出了五脉冲前馈对消器和三脉冲反馈对消器的速度响应曲线。在给出的对消器中,无论杂波谱扩展程度为多少,三脉冲反馈对消器的改善因子潜力均比四脉冲前馈对消器大约好4dB。

基于背景差分法的机动目标检测_毕业设计论文

LANZHOU UNIVERSITY OF TECHNOLOGY 毕业论文 题 目 基于背景差分法的机动目标检测

基于背景差分法的机动目标检测 Maneuvering target detection based on background difference method 论文作者:梅金涛 拼音:Mei Jintao 学号:09250124

摘要 在道路交通管理中,采用摄像头拍摄的道路视频,再用计算机软件处理的方法,则可以极大的增加方便性和灵活性。本文运动目标检测研究如何让计算机从视频图像序列中获得物体运动数据。 运动目标检测分为视频读取、灰度处理、视频图像化、运动位置提取这几个步骤。论文的提取背景是通过算数平均法实现的。与此同时,在运动点团位置提取步骤中,采用了背景减法直接将目标提取出来。 本文还通过实验分析比较了图像预处理给实验带来的正面效果。实验结果再次证明了平均法和差分法在图像处理领域的方便性和灵活性。 关键词:读取视频;视频图像化;背景提取;目标提取。

Abstract Road traffic management system often uses camera to capture the roadway with computer software processing method in order to increase processing convenience and flexibility. The topic of this paper is the detection of moving target, and this also means how to get the whole target from the image sequence. Moving target detection is divided into several steps,such as video read, grayscale processing, video visualization, sports location extracting. In this paper, background is extracted by arithmetic mean method. At the same time, the paper in the moving point position extraction step, used the background subtraction to pick up the target directly. This article also analysis the image preprocessing experiment to bring the positive effect by experiment. The experimental results prove that the average method and difference method again in convenience and flexibility in the field of image processing. Keywords: Video Reading、Graphical Video、Background Extraction、Target Extraction.

雷达作业

通信工程专业技术讲座结课论文(题目:雷达技术的发展历程和发展展望) 姓名: 院系: 2014年6月16日

目录 一、综述 (1) 二、工作原理 (1) 三、雷达的类型 (3) 四、雷达系统与技术的发展历程 (4) 五、雷达系统与技术发展的特点和现状 (6) 六、雷达系统与技术发展的展望 (7)

一、综述 雷达(RADAR),是英文“Radio Detection and Ranging”(无线电侦测和定距)的缩写及音译。将电磁能量以定向方式发射至空间之中,借由接收空间内存在物体所反射之电波,可以计算出该物体之方向,高度及速度,并且可以探测物体的形状。以地面为目标的雷达可以用于探测地面的精确形状。 自从雷达诞生至今,在70 多年的发展历程中,随着科技的不断发展、需求的不断变化,出现了多种体制的新功能雷达,雷达的技术哇能、体积和重量、可靠性、维修性、抗恶劣环境的生存能力等也发生了天翻地覆的变化。尤其是近年来,科学技术在飞速发展,各种新技术,新材料已经越来越快的应用到雷达系统中。特别是近年来,雷达在航电系统综合化的过程中变化非常大,如雷达作为独立系统,起初失去了显示分系统,接着又失去了信号和数据处理分系统,现在仅剩下接收、发射和天线等主要分系统。同时雷达作为一种有源传感器,与激光、红外、紫光和声学等不同传感器信息融合,增强了探测阵能和环境适应性。可见雷达已与电子系统打破了明显界限,雷达系统作为独立设备有逐步消亡的趋势。因此,有必要仔细研究雷达发展的历史,分析雷达系统与技术发展的特点,总结雷达发展的普遍规律,展望雷达系统发展的方向。 二、工作原理 雷达天线把发射机提供的电磁波能量射向空间某一方向,在此方向上的物体反射碰到的电磁波。这些反射波载有该物体的信息并被雷达天线接收,送至雷达接收设备进行处理,提取人们所需要的有用信息并滤除无用的信息,由此获得目标至雷达的距离、距离变化率(径向速度)、方位、高度等信息。 雷达可分为连续波雷达和脉冲雷达两大类。单一频率连续波雷达是一种最为简单的雷达形式,容易获得运动目标与雷达之间的距离变化率(即径向速度)。它的主要缺点是:①无法直接测知目标距离,如欲测知目标距离,则必须调频,但用调频连续波测得的目标距离远不及脉冲雷达精确;②在多目标的环境中容易混淆目标;③大多数连续波雷达的接收天线和发射天线必须分开,并要求有一定的隔离度。

动目标显示与动目标检测

6.5 动目标显示与动目标检测 引言 1.目标回波频谱 6.5.1 目标回波和杂波的频谱 2.杂波频谱 原理递归 传统非递归 6.5.2 MTI滤波器零点分配算法 滤波器设计优化预测误差算法 结语 原理 MTI+FFT 6.5.3 MTD滤波器滤波器设计点最佳 等间隔最佳 结语 6.5.4 改善因子分析MTI MTD

6.5 动目标显示与动目标检测 雷达探测的运动目标如飞机,导弹,舰艇,车辆等周围存在各种背景,包括不动的地物和运动着的云雨,海浪或金属丝干扰等。动目标显示(Moving Target Indicator :MTI )与动目标检测(Moving Target Detection: MTD )就是使用各种滤波器,滤去这些背景产生的杂波而取出运动目标的回波。此外也可以通过把雷达安装在山上、增加雷达天线的倾角、安装防杂波网来阻止杂波进入天线;或通过调整雷达天线的波束形式、采用极化技术、降低雷达的分辨单元、在时域采用CFAR 检测、自适应门限、杂波图来抑制杂波。在频域上应用MTI 与MTD 技术可以提高信杂比,改善杂波背景下检测运动目标的能力。本节首先分析目标回波和杂波的频谱特性;然后分别讨论MTI 与MTD 原理及滤波器设计方法;最后分析MTI 与MTD 对改善因子的提高。 6.5.1 目标回波和杂波的频谱 运动目标回波和杂波在频谱结构上有所差别,运动目标检测就是利用这种差别,从频率上将它们区分,以达到抑制杂波而显示目标回波的目的。为此,应首先弄清楚目标和杂波的回波的特性。 (1) 目标回波的频谱 雷达发射相参脉冲串,其脉冲宽度为e T ,脉冲重复频率为r f 。当天线不扫描而对准目标时,所得脉冲为无限脉冲串。调制信号)(1t u 及其频谱)(1f U 分别为 ∑∞-∞=??? ? ? ?-=n e r T nT t rect A t u )(1 (6.5.1) ∑∞ -∞ =-=n r e e r e f n f T f T f T AT f U )()sin()(1δππ (6.5.2) A 为信号振幅。而高频载波)(2t u 及其频谱)(2f U 为 t t u 02cos )(ω= (6.5.3) )]()([2 1 )(002f f f f f U ++-=δδ (6.5.4) 发射的相参脉冲串)()()(21t u t u t u ?=,故其频谱)(f U 为 )()()(21f U f U f U ?= (6.5.5) A (d) 00 00f τ - 0f τ + f τ -+ 0f τ - f τ -+0d τ 0d τ (b) (a) 固定回波频谱 动目标回波频谱 图6.5.1 发射接收信号时域与频域特性 雷达发射信号通常是窄带信号(如图6.5.1(a)),因而运动目标回波频谱的特征是将发射信号的频谱位置在频率轴上平移一个多普勒频率 λ r d v f 2= (如图6.5.1(c)),d f 的符号由目标运动的方向决定,靠近为正,远离为负。固定回波的频谱与发射信号一致,只是幅度有衰减(如 图6.5.1(b))。 多普勒频率d f 可以直观地解释为:振荡源发射的电磁波以恒速c 传播,当接收者相对振荡源不动,则它的接收频率等于发射频率。当

1 目标跟踪问题

第一章 目标跟踪基本原理与机动目标模型 1.1 引言 目标跟踪问题作为科学技术发展的一个方面,设计的主要目的是可靠而精确的跟踪目标,其历史可以追溯到第二次世界大战前夕,即1937年世界上出现第一部跟踪雷达站SCR-28的时候、之后各种雷达、红外、声纳和激光等目标跟踪系统相继得到发展并且日趋完善。 传统的跟踪系统是一对一系统,即一个探测器仅连续地瞄准和跟踪一个目标。随着科学技术的进步和现代战略战术的发展,人们发现提出新的目标跟踪概念和体制是完全可能的,在过去20多年中,多目标跟踪的理论和方法已经获得很大发展,并已成为当今国际上十分活跃的热门研究领域之一,有些成果也已付诸于工程实际。 简单地说,目标跟踪问题可以划分为下列四类: 一个探测器跟踪一个目标 (OTO ) 一个探测器跟踪多个目标 (OTM ) 多个探测器跟踪一个目标 (MTO ) 多个探测器跟踪多个目标 (MTM ) 1.2 目标跟踪的基本原理 1.2.1 单机动目标跟踪基本原理 发展现代边扫描边跟踪(TWS )系统的目的是,仅在一个探测器条件下同时跟踪多个目标。然而,为达此目的,边扫描边跟踪系统必须首先很好地跟踪单个目标。一般地说,常速直线运动目标的跟踪与估计问题较为简单,而且易于处理。困难的情况表现在被跟踪目标发生机动,即目标速度的大小和方向发生变化的场合。 图1.1为单机动目标跟踪基本原理框图。图中目标动态特性由包含位置、速度和加速度的状态向量X 表示,量测(观测)量Y 被假定为含有量测噪声V 的状态向量 的线性组合(HX +V );残差(新息)向量d 为量测(Y )与状态预测量))1((k k X H +∧之差。我们约定,用大写字母X,Y 表示向量,小写字母x,y 表示向量的分量。一般情况下,单机动目标跟踪为一自适应滤波过程。首先由量测(观测)量(Y )和状态预测量))1((k k X H +∧构成残差(新息)向量d ,然后根据d 的变化进行机动检测或者机动辨识.其次按照某一准则或逻辑调整滤波增益与协方差矩阵或者实时辨识出目标机动特性,最后由滤波算法得到目标的状态估计值和预测值,从而完成单机动目标跟踪功能。

基于背景差分法的机动目标检测

基于背景差分法的机动目标检测LANZHOU UNIVERSITY OF TECHNOLOGY 毕业论文 题目基于背景差分法的机动目标检测 学生姓名梅金涛 学号09250124 专业班级通信工程(1)班 指导教师李立 学院运算机与通信学院 答辩日期2013年6月17日

基于背景差分法的机动目标检测 Maneuvering target detection based on background difference method 拼音:Mei Jintao 学号:09250124

摘要 在道路交通治理中,采纳摄像头拍照的道路视频,再用运算机软件处理的方法,则能够极大的增加方便性和灵活性。本文运动目标检测研究如何让运算机从视频图像序列中获得物体运动数据。 运动目标检测分为视频读取、灰度处理、视频图像化、运动位置提取这几个步骤。论文的提取背景是通过算数平均法实现的。与此同时,在运动点团位置提取步骤中,采纳了背景减法直截了当将目标提取出来。 本文还通过实验分析比较了图像预处理给实验带来的正面成效。实验结果再次证明了平均法和差分法在图像处理领域的方便性和灵活性。 关键词:读取视频;视频图像化;背景提取;目标提取。

Abstract Road traffic management system often uses camera to capture the roa dway with computer software processing method in order to increase proc essing convenience and flexibility. The topic of this paper is the detection of moving target, and this also means how to get the whole target from the image sequence. Moving target detection is divided into several step s,such as video read, grayscale processing, video visualization, sports loc ation extracting. In this paper, background is extracted by arithmetic mean method. At the same time, the paper in the moving point position extra ction step, used the background subtraction to pick up the target directly. This article also analysis the image preprocessing experiment to bring the positive effect by experiment. The experimental results prove that the average method and difference method again in convenience and flexibili ty in the field of image processing. Keywords: Video Reading、Graphical Video、Background Extraction、Target Extraction.

相关主题
文本预览
相关文档 最新文档