当前位置:文档之家› 千兆SFP光信号收发卡高速电路PCB仿真设计

千兆SFP光信号收发卡高速电路PCB仿真设计

千兆SFP光信号收发卡高速电路PCB仿真设计
千兆SFP光信号收发卡高速电路PCB仿真设计

文章编号:167121742(2008)0420438204

千兆SFP 光信号收发卡高速电路PCB 仿真设计

罗 伟

(四川大学电子信息学院,四川成都610064)

摘要:针对数字电路工作频率增加而出现的信号完整性(SI )问题,介绍了利用SI 仿真设计来进行高速数字电

路设计的方法。并给出了基于SI 仿真指导千兆SFP (Small Form -Factor Pluggable Optical Transceiver )光信号收发

卡电路设计的实例。

关 键 词:信号完整性(SI );SI 仿真;SFP

中图分类号:TN912.3 文献标识码:A

收稿日期:2008201207;修订日期:2008203203

随着微电子技术的不断发展,芯片的工作频率越来越高,高速电路的信号完整性问题成为电路设计人员不可回避的问题。文献[1]详细说明了高速数字电路信号完整性产生的原因和解决办法。设计高速数字电路是一项复杂的设计过程,要求SI 设计思想始终贯穿于整个设计过程中,利用SI 仿真结果进行电路辅助设计。较为系统地介绍了高速数字电路设计的一般流程,介绍了SI 问题和SI 仿真设计方法,并结合一个实例介绍高速数字电路设计方法在工程中的应用。没有阐述过多理论,只是从设计方法角度来研究如何解决或减少高速数字电路设计的SI 问题。

 

图1 高速数字电路设计流程1 高速数字电路设计流程[2]

高速数字电路设计指的是如果信号的上升时间小于4倍传输延时,PCB

板上的信号会呈现传输线效应,这样的设计称之为高速数字电路设计。和传

统数字电路设计方法不同,高速数字设计需要进行SI 仿真分析,图1是高速

数字设计的流程图。SI 仿真分析一般分为布线前仿真和布线后仿真两个过

程,其主要任务是在系统设计过程中发现并改善SI 问题,保证信号传输质量和正确的时序功能,是保证设计成功的关键步骤。

布线前仿真:此阶段主要是设置PCB 板层,收集SI 模型,提取关键总线

拓扑结构,对关键信号仿真分析(不包括串扰、上冲与下冲),对布局进行指导,设置布线规则,决定哪些信号需要端接,采用何种端接方法及端接电阻的阻值

大小。

布线后仿真:此阶段的分析考虑了串扰在内的几乎所有的实际因素。根

据布线后SI 仿真结果对设计在布线、线间距、端接位置和端接值等方面要做精细的调整,将SI 问题减小到可接受的范围之内。

按照这样的步骤及确定约束条件,就可以基本解决高速数字PCB 中出现的SI 问题。在电路及信号分析模型以及仿真分析方法正确的情况下,按此步骤制造出来的PCB 通常不需要或只需要很少几次的修改,就能够最终进入生产阶段,从而可以缩短产品开发周期,降低开发成本。

2 信号完整性(SI)仿真与分析

信号完整性是指信号在电路中以正确的时序和电压做出响应的能力。信号完整性问题主要表现在:反射(Reflection )、串扰(Cross talk )、同步开关噪声(SSN )等。在高速PCB 设计中,信号完整性问题可能会带来误判或部分数据丢失,严重时,会造成整个系统性能的下降,甚至不能正常工作。因此,现在的高速数字系统设计中,控制信号完整性问题成为决定设计成败的关键因素之一。

第23卷第4期

2008年8月成 都 信 息 工 程 学 院 学 报JOURNAL OF CHEN G DU UNIV ERSITY OF INFORMATION TECHNOLO GY Vol.23No.4Aug.2008

2.1 SI 问题(1)反射(Reflection )。根据传输线理论,传输线上阻抗不连续会引起信号反射,反射的大小与阻抗失配的程度有关,阻抗失配越大,反射就越大。减小反射的方法是根据传输线的特征阻抗在其发送端或接收端采取端接措施,即使负载阻抗与传输线阻抗匹配(并行端接);使源阻抗与传输线阻抗匹配(串行端接)。

(2)串扰(Cross talk )。串扰是信号在传输线上传播时,因电磁耦合对相邻的传输线产生的噪声电压干扰,通常因耦合机制分为容性串扰和感性串扰。串扰大小受PC B 叠层参数、信号上升沿时间、走线间距和走线平行长度等参数影响。控制和减小串扰的方法有:增大走线间距、减小走线平行长度、为走线提供完整紧邻的参考平面等。

(3)同步切换噪声(SSN )。指的是当PCB 上的众多数字信号(例如地址总线、数据总线等)同时进行状态切换时在地平面上产生电压的波动和变化所引起的感性噪声。当噪声电压引入到电源中后,会使地平面产生电压的波动和变化。瞬间的大电流涌动还会引起地平面反弹噪声(GroundBounce ,简称地弹)。控制办法是:尽量具有连续和完整的电源层及接地层,合理设计电源的去耦电容等。

实际上SI 现象是多个问题同时存在,互相干扰的结果。SI 设计并不能完全消除这些现象,只是将影响程度减小到可接受的范围内。解决SI 问题通常需要根据SI 仿真结果来权衡处理办法,如端接匹配,一定程度上减小了反射,但是增大了信号上升时间,可能导致时序失配。另一方面,端接需要增加器件,增加布线难度和占用PCB 面积。在实际应用中,需要综合考虑各种因素(如布线空间、系统功耗、成本等)设计出最优的SI 问题解决方法。在设计采取合理的拓扑结构、信号端接、采取低电压信号、控制信号上升时间、采用差分对信号等措施可以提高信号完整性。

2.2 仿真模型与方法

(1)仿真模型。进行SI 仿真分析首先要获取或建立仿真模型,仿真设计的可行性和有效性取决于模型的建立,目前广泛采用的两种模型方法分别为SPICE 和IB IS 。SPICE (Simulation Program with Integrated Circuit Em 2phasis )是一种通用电路分析程序,能够分析和模拟一般条件下的各种电路特性。SPICE 程序能够对复杂的电路与系统进行分析,这主要是由于SPICE 程序含有高精度的元器件模型。获取准确的器件模型参数对于电路分析和设计人员来说是非常重要的。IB IS (Input/Output Bufer Information )输人/输出缓冲器信息规范,是一个元件的标准模型信息。IB IS 模型是一种基于V/I 曲线的对I/O 缓冲器快速准确建模的方法,是反映芯片驱动和接收电气特性的一种国际标准,它提供一种标准的文件格式来记录如驱动器输出阻抗、上升/下降时间及输出负载等参数,非常适合做振铃和串扰等高频效应的计算与仿真。IB IS 可用于系统板级或多板信号完整性分析与仿真。

(2)仿真方法。对系统整板信号仿真是很花时间,也是没有必要的,可以对板上信号进行分类,对关键信号,应逐一提取它的仿真模型。根据信号的I/O 特性确定信号的时序关系(包括信号上升时间、高低电平持续时间、信号建立时间和保持时间等参数的容限范围)。对传输速率低于GHz 的信号,一般是对单个信号的过冲、振铃、非单调性、时钟沿等特性进行信号完整性分析。对于高速GHz 串行信号由于其特点需要对数据流进行分析,因此采取眼图分析法。眼图分析法是通过仿真软件产生的眼图与指定的眼图模板进行比较,通过眼开度、“眼皮”厚度、交叉点位置、眼图对称性、迹线粗细度、眼图的上升沿/下降沿等状态定性的估计码间串扰、均衡优劣和阻抗匹配等。仿真时应采用最坏设计情况策略,如选用最强驱动电流模型,最高工作频率等。

 图2 千兆SFP 光信号收发卡组成框图

3 SI 仿真设计实例及分析

3.1 系统的构成

千兆SFP 光信号收发卡主要由4个模块组

成:光信号接口模块(SFP )、大容量数据缓存(SDRAM )、PCI 总线接口模块(PCI9656)、控制模

块(FP G A )。其组成框图如图2所示。实现的主

要功能是能够收发千兆以太网光信号,在物理层

和数据链路层上处理千兆以太网协议,并通过

PCI 总线将提取的IP 数据包存入计算机内存。

要求卡支持PCI ver2.协议,支持DMA 传输模9

34第4期 罗伟:千兆SFP 光信号收发卡高速电路PCB 仿真设计

式,数据传输速率不能低于125MB/s 。

收发卡包含了大量高速数字电路设计:(1)处理板上大量器件的工作频率都超过125MHz ,有的器件工作频率甚至高达千兆赫兹;(2)大量数据/地址信号采用总线方式连接,不同芯片的I/O 连在同一个高速网络上。(3)电路中含有大量差分对信号,信号频率高达1.25GHz 。(4)多电源设计,处理板需要5种电源。电源平面分割设计不合理会产生非常显著的辐射,增加EM I 问题。

3.2 工具选择和模型获取

项目的原理图和PCB 板设计工具分别采用Cadence 公司的Concept HDL 及Allegro 。仿真工具也是该公司的SpecctraQuest ,其功能强大且全面支持IB IS 模型,完全能够满足设计中的需求,并且同Allegro 能够实现无缝联接。仿真中所使用的IB IS 模型是器件供应商提供的。一般来说,器件生产厂家提供的IB IS 模型可信度比较高。但在仿真分析之前,还是提倡验证IB IS 模型的准确度,设计中是直接采用S pecctraQuest 进行模型验证。

3.3 SI 仿真与分析

3.3.1 PCB 设置要求(Setup Advisor )

布局布线前要确定PCB 板的层叠顺序,确定每层的材料、类型、名称、厚度、线宽和阻抗信息,确定PCB 的物

理和电气特性。考虑传输线要在不同布线层间跨越,因此在设计PCB 的走线阻抗时,尽量保证各布线层的阻抗应相同,而且与布线层相邻要有完整的参考平面,起到很好的阻抗控制和回流路径的作用,综合考虑后将处理板设计成8层PCB 板结构(L1(Top )/L2(Gnd )/L3(Sig )/L4(Power )/L5(Gnd )/L6(Sig )/L7(Power )/L8(Bottom ),单端阻抗控制在50Ω左右,差分阻抗控制在100Ω左右。将L3、L6层做为高速布线层,由于其上下都有参考平面可以很好的控制阻抗、串扰和辐射。而顶层和底层由于屏蔽效果差,辐射大不适合作高速布线层。

3.3.2 关键信号的仿真分析与规则设计

(1)关键信号的端接模式和端接电阻值

由于SDRAM 数据总线信号的频率为166MHz ,首先考虑无端接电阻情况下接收端信号质量,仿真波形如图3所示。可以看出信号的过冲、振零现象严重,导致信号的保持时间短,逻辑误判和数据丢失的机率大,必须给每个数据线进行信号端接。这里采取串联端接模式,给数据线加上33Ω的端接电阻,由于是串联模式,电阻应靠近信号的发送端。对加了端接电阻的信号进行仿真,仿真波形如图4所示。可以看出接收端信号的过冲、振零现象得到很好的抑制。

图3 没有端接电阻的信号仿真波形

图4 有端接电阻的信号仿真波形

(2)串扰分析

由于设计中SDRAM 和PCI 接口都是总线信号,布线时大多采取平行走线模式,平行线间的互感与平行线的长度成正比,互感越大串扰越大。因此必须确定平行走线的最大平行长度和线间距。

首先构造一个平行线拓扑结构仿真相邻信号间的干扰,设计线宽5mil 线间距5mil ,走线长度5000mil ,传输线阻抗50Ω的总线模型D[2:0],设定D[1]发送端为频率200MHz 时钟信号,其余为状态信号,仿真接收端的波形。高电平信号到了接收端明显受到时钟信号的影响,而变得不稳定如图5所示。

减少平行线的长度到1000mil ,线间距改为10mil ,发现串扰的程度明显减弱到可以接受的程度,如图6所示。(3)高速差分信号仿真

设计中光模块输入输出电信号频率高达1.25Gbps ,采用的是LVPECL 差分信号,对千兆差分信号的仿真在文献[3]做过讨论。首先建立仿真模型如图7所示。单线阻抗50Ω差分阻抗100Ω(X1),信号速率为1.25GHz 。Out1为SFP 模块LVPECL 差分输出IB IS 模型,In1为FP G A LVPECL 差分输入IB IS 模型。

044成 都 信 息 工 程 学 院 学 报 第23卷

图5 平行线串绕仿真结果

图6 改善后平行线间串扰仿真结果

 

图7 千兆差分信号仿真模型从仿真波形(图8)上观察接收端(In1)的差分信号。眼图

是由码元波形叠加而成的,在无码间串扰和噪声的理想情况

下,每个码元将重叠在一起,最终在示波器上看到的是迹线又

细又清晰的“眼睛”,“眼”开启得最大。当有码间串扰时,波形失真,码元不完全重合,眼图的迹线就会不清晰,引起“眼”部分

闭合。因此,“眼”张开的大小表示了失真的程度,反映了码间

串扰的强弱。从仿真波形看,接收端差分信号的“眼”开度较

大,信号波动小,差分信号摆幅为±400mV 满足实际千兆差分信号码间串扰、均衡和电平等设计要求。

 图8 差分信号仿真眼图3.4 仿真结果指导原理图设计和PCB 规则

根据布线前仿真分析结果,在原理图上在SDRAM 数据总线等高

速信号线上添加33Ω串联匹配电阻;指导PCB 布线规则,设计PCB

层叠厚度和介质参数,设计信号单线阻抗为49.8Ω,差分阻抗为101.

5Ω;设定光模块输入输出信号为差分信号对,差分信号相对延迟控制

在0.008ns ;规定平行线最大长度不大于1000mil ,线间距为信号线宽

的2倍。按照此设计规则,布线后仿真结果均能满足SI 要求。

4 结论

设计通过借助基于SI 仿真分析设计高速信号的PCB 布线规则,

解决了千兆SFP 光信号收发卡设计过程中出现的信号完整性问题,

布板一次成功,完全满足设计指标要求,避免了因信号完整性问题而

带来的重复设计。在高速数字电路设计过程中,基于SI 仿真分析在产品的设计初期尽可能解决信号完整性问题,从而最大限度地降低了产品成本,缩短研发周期。

参考文献:

[1] Howa 2Johnson ,Martin Graham.高速数字设计[M ].北京:电子工业出版社,2004:106-151.

[2] 秦洪密,李军.高速PCB 设计中的时序分析及仿真策略[J ].电子技术应用,2003,(8):58-61.

[3] 周鹏,王桂琼.千兆SFP 光收发模块电路仿真设计与分析[J ].武汉理工大学学报,2005(10):295-298.

PCB simulation design of G igabit SFP optical transceiver high 2speed circuit

L UO Wei

(College of Electronic Information ,SCU ,Chengdu 610064,China )

Abstract :The signal integrity (SI )problem as the increasing frequency of the digital circuits is considered.The de 2sign idea of the high 2speed digital circuit is described based on the SI simulation.A design example of the G igabit SFP optical transceive4r circuit is presented based on the SI simulation results.

K ey w ords :signal integrity (SI );SI simulation ;SFP 144第4期 罗伟:千兆SFP 光信号收发卡高速电路PCB 仿真设计

pcb板电路原理图分模块解析

PCB板电路原理图分模块解析 前面介绍了电路图中的元器件的作用和符号。一张电路图通常有几十乃至几百个元器件,它们的连线纵横交叉,形式变化多端,初学者往往不知道该从什么地方开始,怎样才能读懂它。其实电子电路本身有很强的规律性,不管多复杂的电路,经过分析可以发现,它是由少数几个单元电路组成的。好象孩子们玩的积木,虽然只有十来种或二三十种块块,可是在孩子们手中却可以搭成几十乃至几百种平面图形或立体模型。同样道理,再复杂的电路,经过分析就可发现,它也是由少数几个单元电路组成的。因此初学者只要先熟悉常用的基本单元电路,再学会分析和分解电路的本领,看懂一般的电路图应该是不难的。 按单元电路的功能可以把它们分成若干类,每一类又有好多种,全部单元电路大概总有几百种。下面我们选最常用的基本单元电路来介绍。让我们从电源电路开始。 一、电源电路的功能和组成 每个电子设备都有一个供给能量的电源电路。电源电路有整流电源、逆变电源和变频器三种。常见的家用电器中多数要用到直流电源。直流电源的最简单的供电方法是用电池。但电池有成本高、体积大、需要不时更换(蓄电池则要经常充电)的缺点,因此最经济可靠而又方便的是使用整流电源。 电子电路中的电源一般是低压直流电,所以要想从220 伏市电变换成直流电,应该先把 220 伏交流变成低压交流电,再用整流电路变成脉动的直流电,最后用滤波电路滤除脉动直流电中的交流成分后才能得到直流电。有的电子设备对电源的质量要求很高,所以有时还需要再增加一个稳压电路。因此整流电源的组成一般有四大部分,见图1。其中变压电路其实就是一个铁芯变压器,需要介绍的只是后面三种单元电路。 二、整流电路 整流电路是利用半导体二极管的单向导电性能把交流电变成单向脉动直流电的电路。 ( 1 )半波整流 半波整流电路只需一个二极管,见图 2 ( a )。在交流电正半周时 VD 导通,负半周时VD 截止,负载 R 上得到的是脉动的直流电

PCB板设计步骤

1.5 PCB 板的设计步骤 (1 )方案分析 决定电路原理图如何设计,同时也影响到 PCB 板如何规划。根据设计要求进行方案比较、选择,元 器件的选择等,开发项目中最重要的环节。 (2 )电路仿真 在设计电路原理图之前,有时会会对某一部分电路设计并不十分确定,因此需要通过电路方针来验 证。还可以用于确定电路中某些重要器件参数。 (3 )设计原理图元件 PROTEL DXP 提供了丰富的原理图元件库,但不可能包括所有元件,必要时需动手设计原理图元件,建立 自己的元件库。 (4)绘制原理图 找到所有需要的原理元件后,开始原理图绘制。根据电路复杂程度决定是否需要使用层次原理图。完成原 理图后,用ERC (电气法则检查)工具查错。找到岀错原因并修改原理图电路,重新查错到没有原则性错误为 止。 5 )设计元件圭寸装 和原理图元件一样, PROTEL DXF 也不可能提供所有元件的封装。需要时自行设计并建立新的元件封装库。 6)设计PCB 板 确认原理图没有错误之后,开始 PCB 板的绘制。首先绘岀 PCB 板的轮廓,确定工艺要求(如使用几层板 等)。然后将原理图传输到 PCB 板中,在网络表、设计规则和原理图的引导下布局和布线。利用设计规则查 错。是电路设计的另一个关键环节,它将决定该产品的实用性能,需要考虑的因素很多,不同的电路有不同 要求 (7 )文档整理 对原理图、PCB 图及器件清单等文件予以保存,以便以后维护和修改 DXP 的元器件库有原理图元件库、 PCB 元件库和集成元件库,扩展名分别为 DXP 仍然可以打开并使用 Protel 以往版本的元件库文件。 在创建一个新的原理图文件后 ,DXP 默认为该文件装载两个集成元器件库: Miscellaneous Connectors.IntLib 。因为这两个集成元器件库中包含有最常用的元器件。 注意: Protel DXP 中,默认的工作组的文件名后缀为 .PrjGrp ,默认的项目文件名后缀为 .PrjPCB 。如 果新建的是 FPGA 设计项目建立的项目文件称后缀为 .PrjFpg 。 也可以将某个文件夹下的所有元件库一次性都添加进来, 方法是:采用类似于 Windows 的操作,先选中该文 件夹下的第一个元件库文件后,按住 Shift 键再选中元件库里的最后一个文件,这样就能选中该文件夹下的所 有文件,最后点打开按钮,即可完成添加元件库操作。 3.1原理图的设计方法和步骤 下面就以下图 所示的简单 555定时器电路图为例,介绍电路原理图的设计方法和步骤。 3.1.1创建一个新项目 电路设计主要包括原理图设计和 PCB 设计。首先创建一个新项目,然后在项目中添加原理图文件和 PCB 文件,创建一个新项目方法: ?单击设计管理窗口底部的 File 按钮,弹岀一个面板。 ? New 子面板中单击 Blank Project ( PCB )选项,将弹岀 Projects 工作面板。 ?建立了一个新的项目后,执行菜单命令 File/Save Project As ,将新项目重命名为 "myProject1 . PrjPCB ”保存该项目到合适位置 3.1.2创建一张新的原理图图纸 ?执行菜单命令 New / Schematic 创建一张新的原理图文件。 ?可以看到 Sheetl.SchDoc 的原理图文件,同时原理图文件夹自动添加到项目中。 ?执行菜单命令 File/Save As ,将新原理 SchLib 、PcbLib 、IntLib 。但 Miscellaneous Devices 」ntLib 禾

模拟电路课程设计心得体会

模拟电路课程设计心得 体会 内部编号:(YUUT-TBBY-MMUT-URRUY-UOOY-DBUYI-0128)

精选范文:《模拟电路》课程设计心得体会(共2篇)本学期我们开设了《模拟电路》与《数字电路》课,这两门学科都属于电子电路范畴,与我们的专业也都有联系,且都是理论方面的指示。正所谓“纸上谈兵终觉浅,觉知此事要躬行。”学习任何知识,仅从理论上去求知,而不去实践、探索是不够的,所以在本学期暨模电、数电刚学完之际,紧接着来一次电子电路课程设计是很及时、很必要的。这样不仅能加深我们对电子电路的任职,而且还及时、真正的做到了学以致用。这两周的课程设计,先不说其他,就天气而言,确实很艰苦。受副热带高气压影响,江南大部这两周都被高温笼罩着。人在高温下的反应是很迟钝的,简言之,就是很难静坐下来动脑子做事。天气本身炎热,加之机房里又没有电扇、空调,故在上机仿真时,真是艰熬,坐下来才一会会,就全身湿透,但是炎炎烈日挡不住我们求知、探索的欲望。通过我们不懈的努力与切实追求,终于做完了课程设计。在这次课程设计过程中,我也遇到了很多问题。比如在三角波、方波转换成正弦波时,我就弄了很长时间,先是远离不清晰,这直接导致了我无法很顺利地连接电路,然后翻阅了大量书籍,查资料,终于在书中查到了有关章节,并参考,并设计出了三角波、方波转换成正弦波的电路图。但在设计数字频率计时就不是那么一帆风顺了。我同样是查阅资料,虽找到了原理框图,但电路图却始终设计不出来,最后实在没办法,只能用数字是中来代替。在此,我深表遗憾!这次课程设计让我学到了很多,不仅是巩固了先前学的模电、数电的理论知识,而且也培养了我的动手能力,更令我的创造性思维得到拓展。希望今后类似这样课程设计、类似这样的锻炼机会能更多些!

今天终于弄懂了PCB高速电路板设计的方法和技巧

[讨论]今天终于弄懂了PCB高速电路板设计的方法和技巧受益匪浅啊 电容, 最大功率, 技巧 高速电路设计技术阻抗匹配是指负载阻抗与激励源内部阻抗互相适配,并且得到最大功率输出的一种工作状态。高速PCB布线时,为了防止信号的反射,要求线路的阻抗为50Ω。这是个大约的数字,一般规定同轴电缆基带50Ω,频带75Ω,对绞线则为100Ω,只是取整数而已,为了匹配方便。根据具体的电路分析采用并行AC端接,使用电阻和电容网络作为端接阻抗,端接电阻R要小于等于传输线阻抗Z0,电容C必须大于100pF,推荐使用0.1UF的多层陶瓷电容。电容有阻低频、通高频的作用,因此电阻R不是驱动源的直流负载,故这种端接方式无任何直流功耗。 串扰是指当信号在传输线上传播时,因电磁耦合对相邻的传输线产生不期望的电压噪声干扰。耦合分为容性耦合和感性耦合,过大的串扰可能引起电路的误触发,导致系统无法正常工作。根据串扰的一些特性,可以归纳出几种减小串扰的方法: 1、加大线间距,减小平行长度,必要时采用jog 方式布线。 2、高速信号线在满足条件的情况下,加入端接匹配可以减小或消除反射,从而减小串扰。 3、对于微带传输线和带状传输线,将走线高度限制在高于地线平面范围要求以内,可以显著减小串扰。 4、在布线空间允许的条件下,在串扰较严重的两条线之间插入一条地线,可以起到隔离的作用,从而减小串扰。传统的PCB设计由于缺乏高速分析和仿真指导,信号的质量无法得到保证,而且大部分问题必须等到制版测试后才能发现。这大大降低了设计的效率,提高了成本,在激烈的市场竞争下显然是不利的。于是针对高速PCB设计,业界人士提出了一种新的设计思路,成为“自上而下”的设计方法,经过多方面的方针分析和优化,避免了绝大部分可能产生的问题,节省了大量的时间,确保满足工程预算,产生高质量的印制板,避免繁琐而高耗的测试检错等。利用差分线传输数字信号就是高速数字电路中控制破坏信号完整性因素的一项有效措施。在印制电路板(PCB抄板)上的差分线,等效于工作在准TEM模的差分的微波集成传输线对。其中,位于PCB顶层或底层的差分线等效于耦合微带线,位于多层PCB内层的差分线,等效于宽边耦合带状线。数字信号在差分线上传输时是奇模传输方式,即正负两路信号的相位差是180,而噪声以共模的方式在一对差分线上耦合出现,在接受器中正负两路的电压或电流相减,从而可以获得信号消除共模噪声。而差分线对的低压幅或电流驱动输出实现了高速集成低功耗的要求。

DDR3内存的PCB仿真与设计

本文主要使用时域分析工具对DDR3设计进行量化分析,介绍了影响信号完整性的主要因素对DDR3进行时序分析,通过分析结果进行改进及优化设计。 1 概述 当今计算机系统DDR3存储器技术已得到广泛应用,数据传输率一再被提升,现已高达1866Mbps。在这种高速总线条件下,要保证数据传输质量的可靠性和满足并行总线的时序要求,对设计实现提出了极大的挑战。 本文主要使用了Cadence公司的时域分析工具对DDR3设计进行量化分析,介绍了影响信号完整性的主要因素对DDR3进行时序分析,通过分析结果进行改进及优化设计,提升信号质量使其可靠性和安全性大大提高。 2 DDR3介绍 DDR3内存与DDR2内存相似包含控制器和存储器2个部分,都采用源同步时序,即选通信号(时钟)不是独立的时钟源发送,而是由驱动芯片发送。它比DR2有更高的数据传输率,最高可达1866Mbps;DDR3还采用8位预取技术,明显提高了存储带宽;其工作电压为1.5V,保证相同频率下功耗更低。 DDR3接口设计实现比较困难,它采取了特有的Fly-by拓扑结构,用“Write leveling”技术来控制器件内部偏移时序等有效措施。虽然在保证设计实现和信号的完整性起到一定作用,但要实现高频率高带宽的存储系统还不全面,需要进行仿真分析才能保证设计实现和信号质量的完整性。 3 仿真分析 对DDR3进行仿真分析是以结合项目进行具体说明:选用PowerPC 64位双核CPU 模块,该模块采用Micron公司的MT41J256M16HA—125IT为存储器。Freescale 公司P5020为处理器进行分析,模块配置内存总线数据传输率为1333MT/s,仿真频率为666MHz。 3.1仿真前准备 在分析前需根据DDR3的阻抗与印制板厂商沟通确认其PCB的叠层结构。在高速传输中确保传输线性能良好的关键是特性阻抗连续,确定高速PCB信号线的阻抗控制在一定的范围内,使印制板成为“可控阻抗板”,这是仿真分析的基础。DDR3总线单线阻抗为50Ω,差分线阻抗为100Ω。 设置分析网络终端的电压值;对分析的器件包括无源器件分配模型;确定器件类属性;确保器件引脚属性(输入\输出、电源\地等)……

模拟电路课程设计题目

电子技术(模拟电路部分)课程设计题目 一、课程设计要求 1、一个题目允许两个人选择,共同完成电子作品,但课程设计报告必须各自独立完成。 2、课程设计报告按给定的要求完成,要上交电子文档和打印文稿(A4)。 3、设计好的电子作品必须仿真,仿真通过后,经指导老师检查通过后再进行制作。 4、电子作品检查时间:2010年3月4日,检查通过作品需上交。 4、课程设计报告上交时间:2010年5月20日前。 二、课程设计题目 方向一、波形发生器设计 题目1:设计制作一个产生方波-三角波-正弦波函数转换器。 设计任务和要求 ①输出波形频率范围为0.02Hz~20kHz且连续可调; ②正弦波幅值为±2V,; ③方波幅值为2V; ④三角波峰-峰值为2V,占空比可调; ⑤设计电路所需的直流电源可用实验室电源。 题目2:设计制作一个产生正弦波-方波-三角波函数转换器。 设计任务和要求 ①输出波形频率范围为0.02Hz~20kHz且连续可调; ②正弦波幅值为±2V,; ③方波幅值为2V; ④三角波峰-峰值为2V,占空比可调; ⑤设计电路所需的直流电源可用实验室电源。 题目3:设计制作一个产生正弦波-方波-锯齿波函数转换器。 设计任务和要求 ①输出波形频率范围为0.02Hz~20kHz且连续可调; ②正弦波幅值为±2V,; ③方波幅值为2V; ④锯齿波峰-峰值为2V,占空比可调;

⑤设计电路所需的直流电源可用实验室电源。 题目4:设计制作一个方波/三角波/正弦波/锯齿波函数发生器。 设计任务和要求 ①输出波形频率范围为0.02Hz~20kHz且连续可调; ②正弦波幅值为±2V; ③方波幅值为2V,占空比可调; ④三角波峰-峰值为2V; ⑤锯齿波峰-峰值为2V; ⑥设计电路所需的直流电源可用实验室电源。 方向二、集成直流稳压电源设计 题目1:设计制作一串联型连续可调直流稳压正电源电路。 设计任务和要求 ①输出直流电压1.5∽10V可调; ②输出电流I O m=300mA;(有电流扩展功能) ③稳压系数Sr≤0.05; ④具有过流保护功能。 题目2:设计制作一串联型连续可调直流稳压负电源电路。 设计任务和要求 ①输出直流电压1.5∽10V可调; ②输出电流I O m=300mA;(有电流扩展功能) ③稳压系数Sr≤0.05; ④具有过流保护功能。 题目3:设计制作一串联型二路输出直流稳压正电源电路。 设计任务和要求 ①一路输出直流电压12V;另一路输出5-12V连续可调直流稳压电源; ②输出电流I O m=200mA; ③稳压系数Sr≤0.05;

030442003高速电路板设计与仿真

《高速电路板设计与仿真》课程教学大纲 课程代码:030442003 课程英文名称:High Speed Printed Circuit Board Design and Emulation 课程类别:专业基础课 课程性质:选修 适用专业:电子科学与技术 课程总学时:40 讲课:40 实验:0 上机:0 大纲编写(修订)时间:2011.7 一、大纲使用说明 (一)课程的地位及教学目标 本课程是电子科学与技术专业的专业任选课, 属于专业技术基础课,是一门重要的实践课程。通过本课程的学习,学生能够利用先进的高端软件设计高速电路板,绘制出具有实际意义的原理图和印刷电路板图,具有对设计中的信号完整性、电磁兼容性、电源完整性等问题的分析能力,熟悉一定的电子工艺和印刷电路板的布局布线知识,为今后从事高端设计工作打下一定的基础。 (二)知识、能力及技能方面的基本要求 在知识方面,要求学生具有初步的半导体工艺、印制电路、芯片封装等方面的知识,还要了解信号完整性、电磁兼容性、电源完整性等方面的基本概念,如此才能设计出高质量的高速PCB。在能力方面,要求学生具备一些计算机方面的操作技能。 (三)实施说明 1.教学内容:包括原理图设计、PCB设计、高速信号仿真三部分,其中PCB设计为重点内容。应突出高速和高质量PCB的讲解,以适应高端设计要求。讲课要理论联系实际,设计具有实际意义的原理图和印刷电路板图,而不只是空讲理论知识。 2.教学方法:采用启发式教学,提高学生分析问题和解决问题的能力。鼓励学生通过实践和自学获取知识,培养学生的自学能力,调动学生自行设计的学习积极性和创新能力。 3.教学手段:本课程属于技术基础课,在教学中可采用电子教案、CAI课件及多媒体教学系统等先进教学手段,以确保在有限的学时内,全面、高质量地完成课程教学任务。 4.大纲实施时应贯彻学院工程训练与工程教育相结合的特点,注重学生的能力培养和专业素质的提高,尤其是培养学生的实际动手设计和操作的能力。 (四)对先修课的要求 本课程的先修课为电路、模拟电子电路、数字电子电路、计算机基础知识。 (五)对习题课、实践环节的要求 每次课后留有一定量的操作练习,要求学生课后在自己的电脑上学习操作。本课程无实验。 (六)课程考核方式 1.考核方式:考查 2.考核目标:考核学生是否掌握了软件的基本操作方法,重点考核学生的原理图绘制和印刷电路板的设计能力,所设计的项目是否具有实际意义。 3.成绩构成:本课程的总成绩由两部分组成:平时成绩(包括平时自行练习、出勤等)占20%,期末验收成绩(以综合作业完成情况给出成绩)占80%。按优、良、中、及格、不及格五级给出最后成绩。 (七)参考书目 《Cadence SPB 15.7工程实例入门》于争著,电子工业出版社, 2010.5.

PCB仿真概述

随着信息宽带化和高速化的发展,以前的低速PCB已完全不能满足日益增长信息化发展的需要,人们对通信需求的不断提高,要求信号的传输和处理的速度越来越快,相应的高速PCB的应用也越来越广,设计也越来越复杂。高速电路有两个方面的含义,一是频率高,通常认为数字电路的频率达到或是超过45MHZ 至50MHZ,而且工作在这个频率之上的电路已经占到了整个系统的三分之一,就称为高速电路;二是从信号的上升与下降时间考虑,当信号的上升时小于6倍信号传输延时时即认为信号是高速信号,此时考虑的与信号的具体频率无关。高速PCB的出现将对硬件人员提出更高的要求,仅仅依靠自己的经验去布线,会顾此失彼,造成研发周期过长,浪费财力物力,生产出来的产品不稳定。 高速电路设计在现代电路设计中所占的比例越来越大,设计难度也越来越高,它的解决不仅需要高速器件,更需要设计者的智慧和仔细的工作,必须认真研究分析具体情况,解决存在的高速电路问题。一般说来主要包括三方面的设计:信号完整性设计、电磁兼容设计、电源完整性设计。 在电子系统与电路全面进入1GHz以上的高速高频设计领域的今天,在实现VLSI芯片、PCB和系统设计功能的前提下具有性能属性的信号完整性问题已经成为电子设计的一个瓶颈。从广义上讲,信号完整性指的是在高速产品中有互连线引起的所有问题,它主要研究互连线与数字信号的电压电流波形相互作用时其电气特性参数如何影响产品的性能。 传统的设计方法在制作的过程中没有仿真软件来考虑信号完整性问题,产品首次成功是很难的,降低了生产效率。只有在设计过程中融入信号完整性分析,才能做到产品在上市时间和性能方面占优势。对于高速PCB设计者来说,熟悉信号完整性问题机理理论知识、熟练掌握信号完整性分析方法、灵活设计信号完整性问题的解决方案是很重要的,因为只有这样才能成为21世纪信息高速化的成功硬件工程师。 信号完整性的研究还是一个不成熟的领域,很多问题只能做定性分析,为此,在设计过程中首先要尽量应用已经成熟的工程经验;其次是要对产品的性能做出预测和评估以及仿真。在设计过程中可以不断积累分析能力,不断创新解决信号完整性的方法,利用仿真工具可以得到检验。 第二章:Candence Allegro PCB简介

PCB电路板原理图的设计步骤

PCB电路板原理图的设计步骤 PCB从单层发展到双面、多层和挠性,并且仍旧保持着各自的发展趋势。由于不断地向高精度、高密度和高可靠性方向发展,不断缩小体积、减少成本、提高性能,使得印刷板在未来设备的发展工程中,仍然保持着强大的生命力。那 么PCB是如何设计的呢?看完以下七大步骤就懂啦! 1、前期准备 包括准备元件库和原理图。在进行PCB设计之前,首先要准备好原理图SCH 元件库和PCB元件封装库。PCB元件封装库最好是工程师根据所选器件的标准尺寸资料建立。原则上先建立PC的元件封装库,再建立原理图SCH元件库PCB元件封装库要求较高,它直接影响PCB的安装;原理图SCH元件库要求相对宽松,但要注意定义好管脚属性和与PCB元件封装库的对应关系。 2、PCB结构设计 根据已经确定的电路板尺寸和各项机械定位,在PCB设计环境下绘制PCB

板框,并按定位要求放置所需的接插件、按键/开关、螺丝孔、装配孔等等。充分考虑和确定布线区域和非布线区域(如螺丝孔周围多大范围属于非布线区域)。 3、PCB布局设计 布局设计即是在PCB板框内按照设计要求摆放器件。在原理图工具中生成网络表(Design→Create Netlist),之后在PCB软件中导入网络表(Design →Import Netlist)。网络表导入成功后会存在于软件后台,通过Placement操作可以将所有器件调出、各管脚之间有飞线提示连接,这时就可以对器件进行布局设计了。 PCB布局设计是PCB整个设计流程中的重要工序,越复杂的PCB板,布局的好坏越能直接影响到后期布线的实现难易程度。布局设计依靠电路板设计师的电路基础功底与设计经验丰富程度,对电路板设计师属于较高的要求。初级电路板设计师经验尚浅、适合小模块布局设计或整板难度较低的PCB布局设计任务。 4、PCB布线设计

模拟电子课程设计仿真

1、集成运放的应用电路 (1)参考电路图如下: (2)应用仿真库元件,3D元件分别进行仿真,熟悉示波器的使用2、电流/电压(I/V)转换器的制作与调试 (1)参考电路图如下:

(2)要求将0~10毫安电流信号转换成0~10伏电压信号。(3)分析电路的工作过程,完成制作与调试。 (4)填写下表,分析结果。 3、电压/电流(V/I)转换器的制作与调试(1)参考电路图如下: (2)要求将0~10伏电压信号转换成0~10毫安电流信号。(3)分析电路的工作过程,完成制作与调试。 (4)填写下表,分析结果。

4、电子抢答器制作 (1)参考电路图如下: (2)电路的工作原理: 本电路使用一块时基电路NE555,其高电平触发端6脚和低电平触发端2脚相连,构成施密特触发器,当加在2脚和6脚上的电压超2/3V CC时,3脚输出低电平,当加在2脚和6脚上的电压低于1/3V CC时,3脚输出高电平。按下开关SW,施密特触发器得电,因单向可控硅SCR1~SCR4的控制端无触发脉冲,SCR1~SCR4关断,2脚和6脚通过R1接地而变为低电平,所以3脚输出高电平,绿色发光二极管LED5发光,此时抢答器处于等待状态。 K1~K4为抢答键,假如K1最先被按下,则3脚的高电平通过K1作用于可控硅SCR1的控制端,SCR1导通。红色发光二极管LED1发光,+9V电源通过LED1和SCR1作用于NE555的2脚和6脚,施密特触发器翻转,3脚输出低电平,LED5熄灭。因3脚输出为低电平,所以此后按下K2~K4时,SCR2~SCR4不能获得触发脉冲,SCR2~SCR4维持关断状态,LED2~LED4不亮,LED1独亮说明按K1键者抢先成功,此后主持人将开关SW起落一次。复位可控硅,LED1熄灭,LED5亮,抢答器又处于等待状态。 220V市电经变压器降压,VD1~VD4整流,C滤波,为抢答器提供+9V直流电压。VD1~VD4选IN4001,C选用220μF/15V。R1和R2选1KΩ,LED1~LED4选红色发光二极管,LED5选绿色发光二极管。SW为拨动开关,K1~K4为轻触发开关,单向可控硅选2P4M,IC 为NE555。 (3)完成电路的制作与调试。 5、交替闪光器的制作与调试 (1)参考电路图如下:

电源完整性与地弹噪声的高速PCB仿真

电源完整性与地弹噪声的高速PCB仿真 作者:Martin Vogel 和Brad Cole,Ansoft 公司使用基于电磁场分析的设计软件来选择退耦电容的大小及其放置位置可将电源平面与地平面的开关噪声减至最小。 随着信号的沿变化速度越来越快,今天的高速数字电路板设计者所遇到的问题在几年前看来是不可想象的。对于小于1纳秒的信号沿变化,PCB板上电源层与地层间的电压在电路板的各处都不尽相同,从而影响到IC芯片的供电,导致芯片的逻辑错误。为了保证高速器件的正确动作,设计者应该消除这种电压的波动,保持低阻抗的电源分配路径。 为此,你需要在电路板上增加退耦电容来将高速信号在电源层和地层上产生的噪声降至最低。你必须知道要用多少个电容,每一个电容的容值应该是多大,并且它们放在电路板上什么位置最为合适。一方面你可能需要很多电容,而另一方面电路板上的空间是有限而宝贵的,这些细节上的考虑可能决定设计的成败。 反复试验的设计方法既耗时又昂贵,结果往往导致过约束的设计从而增加不必要的制造成本。使用软件工具来仿真、优化电路板设计和电路板资源的使用情况,对于要反复测试各种电路板配置方案的设计来说是一种更为实际的方法。本文以一个xDSM(密集副载波多路复用)电路板的设计为例说明此过程,该设计用于光纤/宽带无线网络。软件仿真工具使用Ansoft的SIwave,SIwave基于混合全波有限元技术,可以直接从layout工具Cadence Allegro, Mentor Graphics BoardStation, Synopsys Encore和Zuken CR-5000 Board Designer导入电路板设计。图1是SIwave中该设计的PCB版图。由于PCB的结构是平面的,SIwave可以有效的进行全面的分析,其分析输出包括电路板的谐振、阻抗、选定网络的S参数和电路的等效Spice模型。 图1, SIwave中xDSM电路板的PCB版图,左边是两个高速总线,右边是三个Xilinx的FPGA。 xDSM电路板的尺寸,也就是电源层和地层的尺寸是11×7.2 英寸(28×18.3 厘米)。电源层和地层都是1.4mil厚的铜箔,中间被23.98mil厚的衬底隔开。 为了理解对电路板的设计,首先考虑xDSM电路板的裸板(未安装器件)特性。根据电路板上高速信号的上升时间,你需要了解电路板在频域直到2GHz范围内的特性。图2所示为一个正弦信号激励电路板谐振于0.54GHz时的电压分布情况。同样,电路板也会谐振于0.81GHz和0.97GHz以及更高的频率。为了更好地理解,你也可以在这些频率的谐振模式下仿真电源层与地层间电压的分布情况。 图2所示在0.54GHz的谐振模式下,电路板的中心处电源层和地层的电压差变化为零。对于一些更高频率的谐振模式,情况也是如此。但并非在所有的谐振模式下都是如此,例如在1.07GHz、1.64GHz和1.96 GHz的高阶谐振模式下,电路板中心处的电压差变化是不为零的。

模拟电路课程设计..

模拟电子技术课程设计任务书 一、课程设计的任务 通过理论设计和实物制作解决相应的实际问题,巩固和运用在《模拟电子技术》中所学的理论知识和实验技能,掌握常用模拟电路的一般设计方法,提高设计能力和实践动手能力,为以后从事电子电路设计、研发电子产品打下良好的基础。 二、课程设计的基本要求 1、掌握电子电路分析和设计的基本方法。包括:根据设计任务和指标初选电路;调查研究和设计计算确定电路方案;选择元件、安装电路、调试改进;分析实验结果、写出设计总结报告。 2、培养一定的自学能力、独立分析问题的能力和解决问题的能力。包括:学会自己分析解决问题的方;对设计中遇到的问题,能通过独立思考、查询工具书和参考文献来寻找解决方案,掌握电路测试的一般规律;能通过观察、判断、实验、再判断的基本方法解决实验中出现的一般故障;能对实验结果独立地进行分析,进而做出恰当的评价。 3、掌握普通电子电路的生产流程及安装、布线、焊接等基本技能。 4、巩固常用电子仪器的正确使用方法,掌握常用电子器件的测试技能。 5、通过严格的科学训练和设计实践,逐步树立严肃认真、一丝不苟、实事求是的科学作风,并逐步建立正确的生产观、经济观和全局观。

三、课程设计任务 课题4 逻辑信号电平测试器的设计 (一)设计目的 1、学习逻辑信号电平测试器的设计方法; 2、掌握其各单元电路的设计与测试方法; 3、进一步熟悉电子线路系统的装调技术。 (二)设计要求和技术指标 在检修数字集成电路组成的设备时,经常需要使用万用表和示波器对电路中的故障部位的高低电平进行测量,以便分析故障原因。使用这些仪器能较准确地测出被测点信号电平的高低和被测信号的周期,但使用者必须一面用眼睛看着万用表的表盘或者示波器的屏幕,一面寻找测试点,因此使用起来很不方便。 本课题所设计的仪器采用声音来表示被测信号的逻辑状态,高电平和低电平分别用不同声调的声音来表示,使用者无须分神去看万用表的表盘或示波器的荧光屏。 1、技术指标: (1)测量范围:低电平<1V,高电平>3V; (2)用1.5KH Z的音响表示被测信号为高电平; (3)用500H Z的音响表示被测信号为低电平;

高速高密度PCB设计的现状

高速高密度PCB设计的现状 随着电子产品功能的日益复杂和性能的提高,印刷电路板的密度和其相关器件的频率都不断攀升,工程师面临的高速高密度PCB设计所带来的各种挑战也不断增加。下面为大家准备了关于高速高密度PCB设计的现状,欢迎阅读。 随着竞争的日益加剧,厂商面临的产品面世时间的压力也越来越大,如何利用先进的EDA工具以及最优化的方法和流程,高质量、高效率的完成设计,已经成为系统厂商和设计工程师不得不面对的问题。 热点:从信号完整性向电源完整性转移 谈到高速设计,人们首先想到的就是信号完整性问题。信号完整性主要是指信号在信号线上传输的质量,当电路中信号能以要求的时序、持续时间和电压幅度到达接收芯片管脚时,该电路就有很好的信号完整性。当信号不能正常响应或者信号质量不能使系统长期稳定工作时,就出现了信号完整性问题,信号完整性主要表现在延迟、反射、串扰、时序、振荡等几个方面。一般认为,当系统工作在50MHz 时,就会产生信号完整性问题,而随着系统和器件频率的不断攀升,信号完整性的问题也就愈发突出。元器件和PCB板的参数、元器件在PCB板上的布局、高速信号的布线等这些问题都会引起信号完整性问题,导致系统工作不稳定,甚至完全不能正常工作。 信号完整性技术经过几十年的发展,其理论和分析方法都已经较为成熟。对于信号完整性问题,陈兰兵认为,信号完整性不是某个

人的问题,它涉及到设计链的每一个环节,不但系统设计工程师、硬件工程师、PCB工程师要考虑,甚至在制造时也不能忽视。解决信号完整性问题,必须借助先进的仿真工具,如Cadence的SPECCTRAQuest 就是不错的仿真工具,利用它可以在设计前期进行建模、仿真,从而形成约束规则指导后期的布局布线,提高设计效率。随着Cadence 在今年6月推出的专门针对千兆赫信号的仿真器MGH——它是业界首个可以在几秒之内完成数万BIT千兆赫信号的仿真器——信号完整性 技术更臻完善。 相对于信号完整性,电源完整性是一种较新的技术,它被认为是高速高密度PCB设计目前最大的挑战之一。电源完整性是指在高速系统中,电源传输系统(PDS power deliver system)在不同频率上,阻抗特性不同,使PCB板上电源层与地层间的电压在电路板的各处不尽相同,从而造成供电不连续,产生电源噪声,使芯片不能正常工作;同时由于高频辐射,电源完整性问题还会带来EMC/EMI问题。如果不能很好地解决电源完整性问题,会严重影响系统的正常工作。 通常,电源完整性问题主要通过两个途径来解决:优化电路板的叠层设计及布局布线,以及增加退耦电容。退耦电容在系统频率小于300 ~ 400MHz时,可以起到抑止频率、滤波和阻抗控制的作用,在恰当的位置放置合适的退耦电容有助于减小系统电源完整性的问题。但是当系统频率更高时,退耦电容的作用很小。在这种情况下,只有通过优化电路板的层间距设计以及布局布线或者其他的降低电

allegro_PCB_SI仿真

随着微电子技术和计算机技术的不断发展,信号完整性分析的应用已经成为解决高速系统设计的唯一有效途径。借助功能强大的Cadence公司SpecctraQuest 仿真软件,利用IBIS模型,对高速信号线进行布局布线前信号完整性仿真分析是一种简单可行行的分析方法,可以发现信号完整性问题,根据仿真结果在信号完整性相关问题上做出优化的设计,从而缩短设计周期。 本文概要地介绍了信号完整性(SI)的相关问题,基于信号完整性分析的PCB 设计方法,传输线基本理论,详尽的阐述了影响信号完整性的两大重要因素—反射和串扰的相关理论并提出了减小反射和串扰得有效办法。讨论了基于SpecctraQucst的仿真模型的建立并对仿真结果进行了分析。研究结果表明在高速电路设计中采用基于信号完整性的仿真设计是可行的, 也是必要的。 【关键字】 高速PCB、信号完整性、传输线、反射、串扰、仿真 Abstract With the development of micro-electronics technology and computer technology,application of signal integrity analysis is the only way to solve high-speed system design. By dint of SpecctraQuest which is a powerful simulation software, it’s a simple and doable analytical method to make use of IBIS model to analyze signal integrity on high-speed signal lines before component placement and routing. This method can find out signal integrity problem and make optimization design on interrelated problem of signal integrity. Then the design period is shortened. In this paper,interrelated problem of signal integrity, PCB design based on signal integrity, transmission lines basal principle are introduced summarily.The interrelated problem of reflection and crosstalk which are the two important factors that influence signal integrity is expounded. It gives effective methods to reduce reflection and crosstalk. The establishment of emulational model based on SpecctraQucst is discussed and the result of simulation is analysed. The researchful fruit indicates it’s doable and necessary to adopt emulational design based on signal integrity in high-speed electrocircuit design.

原理图和PCB的设计规范

一.PCB设计规范 1、元器件封装设计 元件封装的选用应与元件实物外形轮廓,引脚间距,通孔直径等相符合。元件外框丝印统一标准。 插装元件管脚与通孔公差相配合(通孔直径大于元件管脚直径8-20mil),考虑公差可适当增加。建立元件封装时应将孔径单位换算为英制(mil),并使孔径满足序列化要求。插装元件的孔径形成序列化,40mil以上按5mil递加,即40mil,45mil,50mil……,40mil以下按4mil递减,即36mil,32mil,28mil……。 2、PCB外形要求 1)PCB板边角需设计成(R=1.0-2.0MM)的圆角。 2)金手指的设计要求,除了插入边按要求设计成倒角以外,插板两侧边也应设计成(1-1.5)X45度的倒角或(R1-1.5)的圆角,以利于插入。 1.布局 布局是PCB设计中很关键的环节,布局的好坏会直接影响到产品的布通率,性能的好坏,设计的时间以及产品的外观。在布局阶段,要求项目组相关人员要紧密配合,仔细斟酌,积极沟通协调,找到最佳方案。 器件转入PCB后一般都集中在原点处,为布局方便,按合适的间距先把 所有的元器件散开。 2)综合考虑PCB的性能和加工效率选择合适的贴装工艺。贴装工艺的优先顺序为: 元件面单面贴装→元件面贴→插混装(元件面插装,焊接面贴装一次波峰成形); 元件面双面贴装→元件面插贴混装→焊接面贴装。 1.布局应遵循的基本原则 1.遵照“先固后移,先大后小,先难后易”的布局原则,即有固定位 置,重要的单元电路,核心元器件应当优先布局。

2.布局中应该参考原理图,根据重要(关键)信号流向安排主要元器 件的布局。 3.布局应尽量满足以下要求:总的连线尽可能短,关键信号线最短, 过孔尽可能少;高电压,大电流信号与低电压,小电流弱信号完全分开; 模拟与数字信号分开。 4.在满足电器性能的前提下按照均匀分布,重心平衡,美观整齐的标 准优化布局。 5.如有特殊布局要求,应和相关部门沟通后确定。 2.布局应满足的生产工艺和装配要求 为满足生产工艺要求,提高生产效率和产品的可测试性,保持良好的可维护性,在布局时应尽量满足以下要求: 元器件安全间距(如果器件的焊盘超出器件外框,则间距指的是焊盘之 间的间距)。 1.小的分立器件之间的间距一般为0.5mm,最小为0.3mm,相邻器件 的高度相差较大时,应尽可能加大间距到0.5mm以上。如和IC (BGA),连接器,接插件,钽电容之间等。 2.IC、连接器、接插件和周围器件的间距最好保持在1.0mm以上, 最少为0.5mm,并注意限高区和禁止摆放区的器件布局。 3.安装孔的禁布区内无元器件。如下表所示 4.高压部分,金属壳体器件和金属件的布局应在空间上保证与其它 器件的距离满足安规要求。

PCB电路板设计的一般规范步骤

PCB设计步骤 一、电路版设计的先期工作 1、利用原理图设计工具绘制原理图,并且生成对应的网络表。当然,有些特殊情况下,如电路版比较简单,已经有了网络表等情况下也可以不进行原理图的设计,直接进入PCB设计系统,在PCB设计系统中,可以直接取用零件封装,人工生成网络表。 2、手工更改网络表将一些元件的固定用脚等原理图上没有的焊盘定义到与它相通的网络上,没任何物理连接的可定义到地或保护地等。将一些原理图和PCB封装库中引脚名称不一致的器件引脚名称改成和PCB封装库中的一致,特别是二、三极管等。 二、画出自己定义的非标准器件的封装库 建议将自己所画的器件都放入一个自己建立的PCB库专用设计文件。 三、设置PCB设计环境和绘制印刷电路的版框含中间的镂空等 1、进入PCB系统后的第一步就是设置PCB设计环境,包括设置格点大小和类型,光标类型,版层参数,布线参数等等。大多数参数都可以用系统默认值,而且这些参数经过设置之后,符合个人的习惯,以后无须再去修改。 2、规划电路版,主要是确定电路版的边框,包括电路版的尺寸大小等等。在需要放置固定孔的地方放上适当大小的焊盘。对于3mm的螺丝可用6.5~8mm的外径和3.2~3.5mm内径的焊盘对于标准板可从其它板或PCB izard中调入。 注意:在绘制电路版地边框前,一定要将当前层设置成Keep Out层,即禁止布线层。 四、打开所有要用到的PCB库文件后,调入网络表文件和修改零件封装 这一步是非常重要的一个环节,网络表是PCB自动布线的灵魂,也是原理图设计与印象电路版设计的接口,只有将网络表装入后,才能进行电路版的布线。 在原理图设计的过程中,ERC检查不会涉及到零件的封装问题。因此,原理图设计时,零件的封装可能被遗忘,在引进网络表时可以根据设计情况来修改或补充零件的封装。 当然,可以直接在PCB内人工生成网络表,并且指定零件封装。 五、布置零件封装的位置,也称零件布局 Protel99可以进行自动布局,也可以进行手动布局。如果进行自动布局,运行"Tools"下面的"Auto Place",用这个命令,你需要有足够的耐心。布线的关键是布局,多数设计者采用手动布局的形式。用鼠标选中一个元件,按住鼠标左键不放,拖住这个元件到达目的地,放开左键,将该元件固定。Protel99在布局方面新增加了一些技巧。新的交互式布局选项包含自动

模拟电子课程设计课设传感器测量系统

模拟电子技术课程设计任务书 姓名:院(系):信息系 专业:班级: 课程设计题目:传感器测量系统的设计 课程设计要求:设计一个放大器系统,当电阻值变化±1%时,放大电路能够产生±6V的输出电压。要求偏差为0时输出为0,偏差为1%时输出为6V,偏差为-1%时输出为-1V,误差不超过±2%。 设计任务总述:对设计题目进行分析,根据设计的要求先确定基准电压源:为测量电桥提供一定精度要求的7.0V基准电压,然后修改电路,进行参数计算.,测量当电阻值变化±1%时,放大电路能够产生±6V的输出电压;要求偏差为0时输出为0,偏差为1%时输出为6V,偏差为-1%时输出为-6V,误差不超过±2%;最后电路仿真实验。 工作计划及安排: 熟悉课题要求,查找相关资料;甄选资料的相关内容,初步确定设计方案;寻找参考电路,修改电路,进行参数计算.调试(仿真),如不成功,返回第2步整理数据; 撰写课程设计报告。 成绩 指导教师签字___________________ 年月日

摘要: 设计一个放大器系统,当电阻值变化±2%时,放大电路能 够产生±8V 的输出电压。要求偏差为0时输出为0,偏差为2%时输出为8V ,偏差为-2%时输出为-8V ,误差不超过±5%。 一、电路结构及原理说明: 该电路由四部分组成:基准电压源电路、测量电桥电路、放大电路、电平转移电路。 电路框图如下所示: 1.基准电压源:为测量电桥提供一定精度要求的7.5V 基准电压,采用5.6V 稳压管与同相比例运算电路结合实现。 2.测量电桥电路:当电桥的所有阻值都相同时,输出电压为零。当有一电阻发生变化时将会有电压输出。此电路可以等效为传感器测量电路,测取的温度变化量并将其转化成电压变化。 3.放大电路: 放大电路用于将测温桥输出的微小电压变化(ΔV )放大,使其满足性能要求。放大电路采用两个同相电压跟随器(作为输入缓冲器)与两级放大器组成,其中第一级放大器为差动放大器,第二级放大器为可以方便调节的反相比例运算电路。 4.电平转移电路: 二、测量电路和参数计算 基准电压源 测量电桥 放大电路 电平转移电路

相关主题
文本预览
相关文档 最新文档