当前位置:文档之家› 计算机组成原理-简单模型机设计课设

计算机组成原理-简单模型机设计课设

计算机组成原理-简单模型机设计课设
计算机组成原理-简单模型机设计课设

目录

摘要 (2)

前言 (3)

正文 (4)

一、设计目的和设计原理 (4)

1.1设计目的 (4)

1.2设计原理 (4)

二、总体设计 (7)

三、详细设计 (8)

3.1运算器的物理结构 (8)

3.2存储器系统的组成与说明 (11)

3.3指令系统的设计与指令分析 (12)

3.4微程序控制器的逻辑结构及功能 (14)

3.5微程序的设计与实现 (18)

四、系统调试 (27)

总结 (29)

参考文献 (30)

致谢 (31)

摘要

根据设计任务书要求,本设计要实现完成一个简单计算机的设计,主要设计部分有运算器,存储器,控制器以及微指令的设计。

其中运算器由运算芯片和寄存器来完成,存储器由总线和寄存器构成,使用硬布线的方式实现控制器,从而完成设计要求。

:

关键词:基本模型机的设计;运算器;存储器;控制器;

前言

计算机组成原理是计算机科学技术学科的一门核心专业基础课程。从课程的地位来说,它在先导课程和后续课程之间起着承上启下的作用。

计算机组成原理讲授单处理机系统的组成和工作原理,课程教学具有知识面广,内容多,难度大,更新快等特点。此次课程设计目的就是为了加深对计算机的时间和空间概念的理解, 增强对计算机硬件和计算机指令系统的更进一步了解。

计算机组成原理课程设计目的是为加深对计算机工作原理的理解以及计算机软硬件之间的交互关系。不仅能加深对计算机的时间和空间的关系的理解,更能增加如何实现计算机软件对硬件操作,让计算机有条不紊的工作。

正文

一、设计目的和设计原理

1.1设计目的

融会贯通计算机组成原理课程中各章的内容,通过知识的综合运用,加深对计算机系统各模块的工作原理及相互联系的认识,特别是对硬连线控制器的认识,建立清晰的整机概念。对计算机的基本组成、部件的设计、部件间的连接、微程序控制器的设计、微指令和微程序的编制与调试等过程有更深的了解,加深对理论课程的理解。

在掌握部件单元电路实验的基础上,进一步将其组成系统地构造一台基本模型计算机。

1.2设计原理

(1)运算器

设计中所用的运算器数据通路,其中运算器由两片74LS181以并/串形成8位字长的ALU构成。运算器的输出经过一个三态门74LS245(U33)到ALUO1插座,实验时用8芯排线和内部数据总线BUSD0~D7插座BUS1~6中的任一个相连,内部数据总线通过LZD0~LZD7显示灯显示;运算器的两个数据输入端分别由二个锁存器74LS273(U29、U30)锁存,两个锁存器的输入并联后连至插座ALUBUS,测试时通过8芯排线连至外部数据总线EXD0~D7插座EXJ1~EXJ3中的任一个;参与运算的数据来自于8位数据开并KD0~KD7,并经过一三态门74LS245(U51)直接连至外部数据总线EXD0~EXD7,通过数据开关输入的数据由LD0~LD7显示。

算术逻辑运算功能发生器 74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M并行相连后连至SJ2插座,测试时通过6芯排线连至6位功能开关插座UJ2,以手动方式用二进制开关S3、S2、S1、S0、CN、M来模拟74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M;其它电平控制信号LDDR1、LDDR2、ALUB`、SWB`以手动方式用二进制开关LDDR1、LDDR2、ALUB、SWB来模拟,这几个信号有自动和手动两种方式产生,通过跳线器切换,其中ALUB`、SWB`为低电平有效,LDDR1、

LDDR2为高电平有效。

另有信号T4为脉冲信号,在手动方式下进行实验时,只需将跳线器J23上T4与手动脉冲发生开关的输出端SD相连,按动手动脉冲开关,即可获得实验所需的单脉冲。

带进位控制运算器增加进位控制部分,其中高位74LS181(U31)的进位CN4通过门UN4E、UN2C、UN3B进入UN5B的输入端D,其写入脉冲由T4和AR信号控制,T4是脉冲信号,在手动方式下进行实验时,只需将跳线器J23上T4与手动脉冲发生开关的输出端SD相连,按动手动脉冲开关,即可获得测试所需的单脉冲。AR是电平控制信号(低电平有效),可用于实现带进位控制实验。从图中可以看出,AR 必须为“0”电平,D型触发器74LS74(UN5B)的时钟端CLK才有脉冲信号输入。才可以将本次运算的进位结果CY锁存到进位锁存器74LS74(UN5B)中。

(2)存储器

主存储器单元电路主要用于存放实验机的机器指令,它的数据总线挂在外部数据总线EXD0~EXD7上;它的地址总线由地址寄存器单元电路中的地址寄存器

74LS273(U37)给出,地址值由8个LED灯LAD0~LAD7显示,高电平亮,低电平灭;在手动方式下,输入数据由键盘提供,并经一三态门74LS245(U51)连至外部数据总线EXD0~EXD7,实验时将外部数据总线EXD0~EXD7用8芯排线连到内部数据总线BUSD0~BUSD7,分时给出地址和数据。它的读信号直接接地;它的写信号和片选信号由写入方式确定。该存储器中机器指令的读写分手动和自动两种方式。手动方式下,写信号由W/R` 提供,片选信号由CE`提供;自动方式下,写信号由控制CPU的P1.2提供,片选信号由控制CPU的P1.1提供。

由于地址寄存器为8位,故接入6264的地址为A0~A7,而高4位A8~A12接地,所以其实际使用容量为256字节。6264有四个控制线:CS1 第一片选线、CS2第二片选线、OE读线、WE写线。其功能如表3—4所示。CS1片选线由CE`控制(对应开关CE)、OE读线直接接地、WE写线由W/R`控制(对应开关WE)、CS2直接接+5V。

(3)部件测试过程中,各部件单元的控制信号是人为模拟产生的,而总体测试将能在微程序控制下自动产生各部件单元控制信号,实现特定指令的功能。这里,测试计算机数据通路的控制将由微程序控制器来完成,CPU从内存中取出一条机器指令到指令执行结束的一个指令周期全部由微指令组成的序列来完成,即一

条机器指令对应一个微程序。

为了向主存储器RAM中装入程序或数据,并且检查写入是否正确以及能运行主存储器中的程序,必须设计三个控制操作微程序。

·存储器读操作:拨动总清开关后,置控制开关SWB、SWA为“0 0”时,按要求连线后,连续按“启动运行”开关,可对主存储器RAM连续手动读操作。

·存储器写操作:拨动总清开关后,置控制开关SWB、SWA为“0 1”时,按要求连线后,再按“启动运行”开关,可对主存储器RAM进行连续手动写入。

·运行程序:拨动总清开关后,置控制开关SWB、SWA为“1 1”时,按要求连线后,再按“启动运行”开关,即可转入到第01号“取址”微指令,启动程序运行。

上述三条控制指令用两个开关SWC、SWA的状态来设置,其定义如下:

(4) 指令寄存器

指令寄存器用来保存当前正在执行的一条指令。当执行一条指令时,先把它从内存取到缓冲寄存器中,然后再传送到指令寄存器。指令划分为操作码和地址码字段,由二进制构成,为了执行任何一条给定的指令,必须对操作码进行测试P(1),通过节拍脉冲T4的控制以便识别所要求的操作。“指令译码器”根据指令中的操作码进行译码,强置微控器单元的微地址,使下一条微指令指向相应的微程序首地址。

基本整机模型数据框图如图2-1所示,计算机数据通路的控制将由微程序控制器来完成,CPU从内存中取出一条机器指令到指令执行结束的一个指令周期全部由微指令组成的序列来完成,即一条机器指令对应一个微程序。

SW-G

图2-1:模型机的数据通路图

数据的通路从程序计数器PC的地址送到主存的地址寄存器,根据地址寄存器的内容找到相应的存储单元。

存储器中的数据是指令时,那么数据是从RAM送到总线,再从总线送到IR中。

存储器中的数据是需要加工的数据时,那么数据是从RAM送到总线,再动总线送到通用寄存器中等待加工。

数据加工过程中,两个数据是从总线上将数据分别分时压入两个暂存器中,等待运算部件的加工,在数据加工完成以后。运算结果是通过三太门送到总线上。三态门的控制时由微控制器来控制。

3.1运算器的物理结构

运算器模块主要由两片74LS181、暂存器两片74LS273等构成。其中74LS181可通过控制器相应的控制指令来进行某种运算,具体由S0、S1、 S2、S3、S4、M 来决定。T4是它的工作脉冲,正跳变有效。寄存器堆模块为实验计算机提供了2个8位通用寄存器。它们用来保存操作数及其中间运算结果,它对运算器的运算速度、指令系统的设计等都有密切的关系。

下面是芯片74LS181的控制逻辑引脚的功能表以及逻辑引脚图如表3-1所示:表3-1:74LS181的控制逻辑引脚的功能表

图3-1:74LS181的逻辑引脚图

其中各个引脚的功能如下所示:

M :算术/逻辑运算选择输入

M=0 算术运算 M=1 逻辑运算

Cn :带或不带进位运算选择输入

Cn =0 带进位 Cn =1 不带进位

S3~S0:函数选择输入,A3~A0:4位输入数据,B3~B0:4位输入数据 F3~F0:4位表示运算结果的输出,C n+4:进位输出

图3-2:74LS273的引脚图

其中,Q0-Q7表示寄存器的8位数据输出,D0—D7表示向寄存器中输入8位数据的引脚,CLK 是用来进行寄存器的选定操作,当其为高电位时和T4信号一起选定哪个寄存器进行数据输入。

8位运算器的结构框图如图3-3所示:

图3-3:8位运算器的结构框图

在该运算器中,有两片74LS181组成算术和逻辑运算。数据的来源由74LS273寄存器提供,74LS273产生8位数据,分别送入到74LS181运算器中进行相应的运算,而如何进行数据的传送是由LDDR1和LDDR2以及T4信号控制的,当LDDR1和T4都为高电平时,选定相应的寄存器来进行数据输入,同理,LDDR2和T4。然后经过相应的运算之后将产生的结果通过总线送回到寄存器中。整个数据的运送过程有相应的控制信号提供,S0、S1、 S2、S3、S4、M都是通过控制器的相关指令来控制。让其进行某种算数运算和逻辑运算。整个数据和指令都是通

过数据总线,控制总线和地址总线来进行传送。

3.2存储器系统的组成与说明

3.2.1存储器的详细设计

图3-4:存储器的结构框图

说明:该主存储器采用一级cache-存储器结构。主要用于存放试验机的机器指令。它的数据总线挂在外部数据总线EXD0~EXD7上;它的地址总线由地址寄存器单元电路中的地址寄存器74LS273(U37)给出,地址值由8个LED灯LAD0~LAD7显示,高电平亮,低电平灭;在手动方式下,输入数据由8位数据开关KD0~KD7提供,并经一三态门74LS245(U51)连至外部数据总线EXD0~EXD7,实验时将外部数据总线EXD0~EXD7用8芯排线连到内部数据总线BUSD0~BUSD7,分时给出地址和数据。它的读信号直接接地;它的写信号和片选信号由写入方式确定。该存储器中机器指令的读写分手动和自动两种方式。手动方式下,写信号由W/R` 提供,片选信号由CE`提供;自动方式下,写信号由控制CPU的P1.2提供,片选信号由控制CPU的P1.1提供。

由于地址寄存器为8位,故接入6264的地址为A0~A7,而高4位A8~A12接地,所以其实际使用容量为256字节。6264有四个控制线:CS1 第一片选线、CS2第二片选线、OE读线、WE写线。CS1片选线由CE`控制(对应开关CE)、OE读线

直接接地、WE写线由W/R`控制(对应开关WE)、CS2直接接+5V。

图中信号线LDAR 由开关LDAR提供,T3由试验机上时序模块电路TS3提供。

3.3指令系统的设计与指令分析

机器指令格式的设计

3.3.1数据格式

数据格式如表3-2所示:

表3-2:数据格式

3.3.2指令格式

模型机设计四大类指令共十条,其中包括算术指令逻辑指令,I/O指令,存算指令,取算指令,转移指令。

(1)算术指令

设计7条算术指令并用单字节表示,寻址方式采用寄存器寻址,其格式如表3-3所示:

:算数指令格式

表3-3

其中,OP-CODE为操作码,RS为源寄存器,DS目的寄存器,其规定如下所示:

(2)访存指令及转移指令

设计2条访问指令:即存算STA,取算LDA;2条转移指令:即无条件转移指令JMP,有进位跳转指令BZC,指令格式如表3-4所示:

表3-4:访问指令及转移指令格式

其中,OP-CODE为操作码,RD为源寄存器的地址(LAD,STA指令用),

D 为位移量,M为寻址模式,其定义如下所示:

本模型机规定变址RI为寄存器R2。

(3)I/O指令

输入IN指令和输出指令OUT指令采用单字节指令,其格式如表3-5所示:

表3-5:I/O指令格式

其中,addr=01时,选中输入数据开关KD0~KD7作为输入设备,addr=10选中2位数码管作为输出设备。

3.3.3指令系统

本模型机共有13条基本指令,其中算术指令7条,访存指令和程序控制指令4条,输入输出指令2条。表3-6列出了各条指令的格式,汇编符合,指令功能。

表3-6指令格式

3.4微程序控制器的逻辑结构及功能

微程序控制器的结构与微指令的格式密切相关。

微程序控制器的结构框图如图3-5所示。它由控制存储器、微地址寄存器、微命令寄存器和地址转移逻辑几部分组成。微地址寄存器和微命令寄存器两者的总长度即为一条微指令的长度,二者合在一起称为微指令寄存器。

图3-5:微程序控制器的结构框图

1.控制存储器

ROM中存放微程序,也就是全部的微指令。ROM的容量取决于微指令的总数。假如控制器需要128条微指令,则微地址寄存器长度为7位。ROM的字长取决于微指令长度。如果微指令为32位,则ROM的字长就是32位。实际应用中ROM可采用EPROM或E2PROM、EAROM,用户写入和修改微程序比较方便。

2.微命令寄存器

微命令寄存器暂存由控制存储器中读出的当前微指令中控制字段与测试判别字段信息,可由8D寄存器组成。

3.微地址寄存器

微地址寄存器暂存由控制存储器读出的当前微指令的下址字段信息。它可由

带R

D 、S

D

强置端的D触发器组成。其中时钟端和D端配合用做ROM的读出打入,

用S

D

进行下址修改。

4.地址转移逻辑

微指令由ROM读出后直接给出下一条微指令的地址,这个地址就放在微地址寄存器中。当微程序出现分支时通过地址转移逻辑去修改微地址寄存器内容,并按修改好的微地址读出下条微指令。地址转移逻辑是一个组合逻辑电路,其输入

是当前微指令的判别测试字段P

i 、执行部件反馈的“状态条件”及时间因素T

4

5.控制时序信号

上图中标明了一个基本机器周期中的控制时序信号。例如用上一周期的T

4

时间按微地址寄存器内容从ROM中读一条微指令,经过一段时间后被读出,用当前

周期的T

1时间打入到微指令寄存器。T

2

、T

3

时间用来控制执行部件进行操作。T

4

时间修改微地址寄存器内容并读出下一条微指令。

微控制器寄存器使用的是两片74LS273和一片74LS175构成它们从微命令存储器中读出并保存,为后续模块提供信息。它是根据节拍信号进行读的。

地址转移部分是由一个74LS245作为6的带强制端的触发器构成寄存器和构成在强制端没有输入时使用的是从微存储器读出下一条地址。如果强制端有输入就是强制端给定的地址。强制端给地址主要是在分支的时候给出。

还有三个74LS138够成地址译码部分。分别对应A,B,C字段。

微控制器的物理设计

微控制器的设计中根据其要求,使用的微控制的微控存使用的是3片2816 构成。其中每一片使用的是256个字节并没有全使用。这主要考虑到是整个模型机都使用的都是8位的。三片2816实现的位扩张,构成24长度微指令。因此根据起设计的要求物理设计的逻辑图如图3-6所示:

图3-6 物理设计图

3.5微程序的设计与实现

3.5.1指令格式

微指令长共24位,其控制位顺序如表3-7所示:

表3-7:微指令的格式

A字段 B字段 C字段

对表3-7解释:

S3 S2 S1 S0 M

微运算器74LS181芯片的控制信号,详见表3-7。

微W\R信号对RAM和OUT进行写操作,高电平为写有效。

B1,B0:

为对外部设备(RAM, OUTPUT, INPUT)地址进行译码,B0B1=00时,

INPUT 选中; B0B1 =01时,RAM(CE)选中;B0B1=10的,OUTPUT

选中; B0B1=11时,外部设备不选中。

A字段:

LDRi:寄存器输入选中,具体选择同指令寄存器(IR)的最低2位(I1,I0)配合,当I1,I0=00时为输入到R0寄存器;I1,I0=01时为R1;I1,I0=10时为R2。

LDDR1:暂存器DR1选中。

LDDR2:暂存器DR2选中。

LDIR:指令寄存器IR选中。

LOAD:总线数据直接装载到PC计数器。

LDAR:地址寄存器AR选。

B字段:

RS-B:为源寄存器输出选中。具体选择同指令寄存器(IR)的3,4位(I3,I2)配合,当I3,I2=00时为输入到R0寄存器;I3,I2=01时为R1;I3,I2=10时为R2。

RD-B:为目的寄存器输出选中。具体选择同指令寄存器(IR)的最低2位(I1,I0)配合,当I1,I0=00时为输入到R0寄存器;I1,I0=01时为R1;I1,I0=10时为R2。

RI-B:为变址寄存器选中。本机定固定为R2 。

299-B:移位寄存器输出选中。

ALU-B:逻辑运算单元结果输出。

PC-B :PC计数器输出。

C字段:

P(1):分支判断1,和指令寄存器(IR)的高四位(IR7-IR4)作为测试条件。可分16个分支。

P(2):分支判断2,和指令寄存器(IR)的三四位(IR3,IR2)作为测试条件,有4个分支。

P(3):分支判断3,和CY或ZI作为测试条件,有两个分支。

P(4):分支判断4,和开关SWB,SBA作为测试条件,有4个分支。用于控制台控制区(读程序,写程序,和运行程序)。

AR:进行算术运算时是否影响进位和判零标志的控制位。选中时进行带进位运算。

LDPC:为PC计数信号选中。

UA5……UA0:为下一步微地址。

指令的后续地址的产生方法是:在没有跳转的指令中后六位就是下一条微指令

的入口地址。在有跳转的指令根据跳转的条件微控制器根据相应的条件和地址将下地址直接送到为控制器的地址强制端得到下一条指令的地址。

微程序是按顺序在在为控存中存放在系统初始化的是时候指令是从00H地址开始的00H地址中存放的是一条跳转指令直接可以跳转到01H的中存放的就是真正在控制程序功能的指令。机器就根据指令一条的执行。在微控制器的控制下让机器根据指令的来进行有条不紊的工作。

为指令的入口地址的形成是根据机器指令的高四位进行判断后得出的。每一条微指令都对应相应的一个地址。地址的编制和每一微指令是一一对应。不存在冲突。

3.5.2微程序流程图

根据以上的指令设计,得出的微程序流程图如图3-7所示:

计算机组成原理

计算机组成原理大型实验 报告 (2010/2011第2学期------第19周) 指导教师: 班级: 姓名: 学号: 计算机组成原理课程设计实验报告 一、目的和要求 目的: 深入了解计算机各种指令的执行过程,以及控制器的组成,指令系统微程序设计的具体知识,进一步理解和掌握动态微程序设计的概念;完成微程序控制的特定功能计算机的指令系统设计和调试。 要求: (1)、内容自行设计相关指令微程序;(务必利用非上机时间设计好微程序) (2)、测试程序、实验数据并上机调试; (3)、报告内容: 包括 1、设计目的 2、设计内容 3、微程序设计(含指令格式、功能、设计及微程序) 4、实验数据(测试所设计指令的程序及结果)。(具体要求安最新规范为准) 二、实验环境 TEC—2机与PC机。 三、具体内容 实验内容: (1)把用绝对地址表示的内存单元A中的内容与内存单元B中的内容相加,结果存于内存单元C中。 指令格式:D4××,ADDR1,ADDR2,ADDR3四字指令(控存入口100H) 功能:[ADDR3]=[ADDR1]+[ADDR2] (2)将一通用寄存器内容减去某内存单元内容,结果放在另一寄存器中。 指令格式:E0DRSR,ADDR(SR,DR源、目的寄存器各4位)双字指令(控存 入口130H) 功能:DR=SR-[ADDR]

(3)转移指令。判断两个通用寄存器内容是否相等,若相等则转移到指定绝对地址,否则顺序执行。 指令格式:E5DRSR,ADDR双字指令(控存入口140H) 功能:ifDR==SRgotoADDRelse顺序执行。 设计:利用指令的CND字段,即IR10~8,令IR10~8=101,即CC=Z 则当DR==SR时Z=1,微程序不跳转,接着执行MEMPC(即ADDRPC),而当DR!=SR 时Z=0,微程序跳转至A4。 实验设计并分析: 第一条:把用绝对地址表示的内存单元A中的内容与内存单元B中的内容相加,结果存于内存单元C中。 指令格式:D4××,ADDR1,ADDR2,ADDR3四字指令(控存入口100H) 功能:[ADDR3]=[ADDR1]+[ADDR2] 指令格式: D4XX ADDR1 ADDR2 ADDR3 微程序: PC→AR,PC+1→PC:00000E00A0B55402 MEM→AR:00000E00 10F00002 MEM→Q:00000E00 00F00000 PC→AR,PC+1→PC:00000E00 A0B5 5402 MEM→AR:00000E00 10F0 0002 MEM+Q→Q:00000E01 00E0 0000 PC→AR,PC+1→PC:00000E00 A0B5 5402 MEM→AR:00000E0010F0 0002 Q→MEM,CC#=0:00290300 10200010 指令分析: PC->AR,PC+1->PC 0000 0000 1110 0000 0000 1010 0000 1011 0101 0101 0100 0000 0010 MEM->AR 0000 0000 1110 0000 0000 0001 0000 1111 0000 0000 0000 0000 0100 MEM->Q 0000 0000 1110 0000 0000 0000 0000 1111 0000 0000 0000 0000 0000 PC->AR,PC+1->PC 0000 0000 1110 0000 0000 1010 0000 1011 0101 0101 0100 0000 0010 MEM->AR 0000 0000 1110 0000 0000 0001 0000 1111 0000 0000 0000 0000 0100 MEM+Q->Q 0000 0000 1110 0000 0001 0000 0000 1110 0000 0000 0000 0000 0000 PC->AR,PC+1->PC 0000 0000 1110 0000 0000 1010 0000 1011 0101 0101 0100 0000 0010 MEM->AR 0000 0000 1110 0000 0000 0001 0000 1111 0000 0000 0000 0000 0100

16位模型机的设计

16位CPU的设计 要求: 此模型机的功能是将存储区的数据块复制到另一个存储区。 汇编代码如下: START:LOADI R1,0010H ;源操作数地址送R1 LOADI R2,0030H ;目的操作数地址送R2 LOADI R6,002FH ;结束地址送R6 NEXT:LOAD R3,[R1] ;取数 STORE [R2],R3 ;存数 BRANCHGTI START ;如果R1>R6,则转向START INC R1 ;修改源地址 INC R2 ;修改目的地址 BRANCHI NEXT ;转向NEXT 1.16位CPU的组成结构

2.指令系统的设计 一、指令格式 1)单字指令格式 2)双字指令格式 操作码指令功能 00001 LOAD 装载数据到寄存器 00010 STORE 将寄存器的数据存入到存储器 00100 LOADI 将立即数装入到寄存器 00101 BRANCHI 无条件转移到由立即数指定的地址 00110 BRANCHGTI 如果源寄存器容大于目的寄存器的容,则转移到由 立即数指定的地址 00111 INC 寄存器容加1指令 依据以上设计的指令系统,则完成数据块复制的程序如下: 地址机器码指令功能说明 0000H 0001H 2001H 0010H LOADI R1,0010H 源操作数地址送R1

一、程序包:说明运算器的功能、移动寄存器的操作、比较器的比较类型和用于CPU控 制的状态类型。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; package cpu_lib is subtype t_shift is unsigned (3 downto 0); constant shftpass :unsigned(3 downto 0):="0000"; constant sftl :unsigned(3 downto 0):="0001"; constant sftr:unsigned(3 downto 0):="0010"; constant rotl :unsigned(3 downto 0):="0011"; constant rotr :unsigned(3 downto 0):="0100"; subtype t_alu is unsigned(3 downto 0); constant alupass :unsigned(3 downto 0):="0000";

复杂模型机实验报告.

信息学院

运行 PC→AR PC+1 RAM→BUS BUS→IR P1 PC→AR PC+1 RS→BUS BUS→DR1 ALU=0→BUS BUS→RD SW→BUS BUS→RD 00(直接)CLR RD→BUS BUS→DR2 DR1+DR2→ BUS→RD 01 01 01 01 02 20 212325 52 53 31 27 RS→RD RS→299 RRC 299→RD RS→299 RLC 299→RD 01 3032 54 55 36 67 70 IN MOV RRC SUC RLC RD→LED 01 STOP 01 26 24 ADC RS→BUS BUS→DR2 RD→BUS BUS→DR1 DR1→DR1 DR1+1→ BUS→DR1 DR1→DR1 DR1+DR2→ BUS→RD 56 57 60 61 RD→BUS BUS→DR1 RS→BUS BUS→DR1 RD→BUS BUS→DR1 35 0101 INC DR1+1→ BUS→RD 01 01 01 34 62 33 RD→BUS BUS→DR2 63 DR1^DR2→ BUS→RD 65 AND 66 PC→AR PC+1 PC→AR PC+1 PC→AR PC+1 20 RAM→BUS BUS→DR1 03 RAM→BUS BUS→AR 04 RAM→BUS BUS→DR1 06 RAM→BUS BUS→AR 05 RAM→BUS BUS→AR 07 40 RAM→BUS BUS→DR1 15 22 RI→DR2 16 DR1+DR2→ BUS→AR 17 DR1+DR2→ BUS→DR1 45 RAM→BUS BUS→DR1 46 PC→BUS BUS→DR2 47 DR1+DR2→ BUS→AR 50 DR1+DR2→ BUS→DR1 51 72 P2 RAM→BUS BUS→RD 40 RD→BUS BUS→RAM 41 DR1→BUS BUS→PC 4243 P3 DR1→BUS BUS→PC 6444 010101 BZC JMP STA LAD 10(变址) 01(间接)11(相对)COM 40 4040 01 01 44 01 Y N P4 PC→AR PC+1 PC→AR PC+1 SW→BUS BUS→DR1 DR1→RAM RAM→BUS BUS→DR1 DR1→LED 01 00 11 14 74 10 12 73 13 WRITE(01)READ(00)RUN(11) SW B 10 →B U S B U S→R D 1 DR DR1→DR1 37 71 SWA 图2-8复杂模型机微程序流程图 H L T A OUT 六、实验结果: (1)取in指令送IR: (2)采集从数据开关输入的数据07H并送R0:

计算机组成原理课设

计算机组成原理课程设计报告 班级:09计算机03 班姓名:** 学号:******** 完成时间:2012年1月3日 一、课程设计目的 1.在实验机上设计实现机器指令及对应的微指令(微程序)并验证,从而进一步掌握微程序设计控制器的基本方法并了解指令系统与硬件结构的对应关系; 2.通过控制器的微程序设计,综合理解计算机组成原理课程的核心知识并进一步建立整机系统的概念; 3.培养综合实践及独立分析、解决问题的能力。 二、课程设计的任务 针对COP2000实验仪,从详细了解该模型机的指令/微指令系统入手,以实现乘法和除法运算功能为应用目标,在COP2000的集成开发环境下,设计全新的指令系统并编写对应的微程序;之后编写实现乘法和除法的程序进行设计的验证。 三、课程设计使用的设备(环境) 1.硬件 ●COP2000实验仪 ●PC机 2.软件 ●COP2000仿真软件 四、课程设计的具体内容(步骤) 1.详细了解并掌握COP 2000模型机的微程序控制器原理,通过综合实验来实现 (1)该模型机指令系统的特点: ①总体概述 COP2000模型机包括了一个标准CPU所具备所有部件,这些部件包括:运算器ALU、累加器A、工作寄存器W、左移门L、直通门D、右移门R、寄存器组R0-R3、程序计数器PC、地址寄存器MAR、堆栈寄存器ST、中断向量寄存器IA、输入端口IN、输出端口寄存器OUT、程序存储器EM、指令寄存器IR、微程序计数器uPC、微程序存储器uM,以及中断控制电路、跳转控制电路。其中运算器和中断控制电路以及跳转控制电路用CPLD来实现,其它电路都是用离散的数字电路组成。微程序控制部分也可以用组合逻辑控制来代替。 模型机为8位机,数据总线、地址总线都为8位,但其工作原理与16位机相同。相比而言8位机实验减少了烦琐的连线,但其原理却更容易被学生理解、吸收。

计算机组成原理课程设计微程序报告

微程序控制器的设计与实现

目录 1设计目的 (3) 2设计内容 (3) 3具体要求 (3) 4设计方案 (3) 5 调试过程 (11) 6 心得体会 (12)

微程序控制器的设计与实现 一、设计目的 1)巩固和深刻理解“计算机组成原理”课程所讲解的原理,加 深对计算机各模块协同工作的认识 2)掌握微程序设计的思想和具体流程、操作方法。 3)培养学生独立工作和创新思维的能力,取得设计与调试的实 践经验。 4)尝试利用编程实现微程序指令的识别和解释的工作流程 二、设计内容 按照要求设计一指令系统,该指令系统能够实现数据传送,进行加、减运算和无条件转移,具有累加器寻址、寄存器寻址、寄存器间接寻址、存储器直接寻址、立即数寻址等五种寻址方式。 三、设计要求 1)仔细复习所学过的理论知识,掌握微程序设计的思想,并根 据掌握的理论写出要设计的指令系统的微程序流程。指令系 统至少要包括六条指令,具有上述功能和寻址方式。 2)根据微操作流程及给定的微指令格式写出相应的微程序 3)将所设计的微程序在虚拟环境中运行调试程序,并给出测试 思路和具体程序段 4)尝试用C或者Java语言实现所设计的指令系统的加载、识 别和解释功能。 5)撰写课程设计报告。 四、设计方案 1)设计思路 按照要求设计指令系统,该指令系统能够实现数据传送,进行加、减运算和无条件转移,具有累加器寻址、寄存器寻址、寄存器间接寻址、存储器直接寻址、立即数寻址等五种寻址方式。从而可以想到如下指令:24位控制位分别介绍如下: XRD :外部设备读信号,当给出了外设的地址后,输出

此信号,从指定外设读数据。 EMWR:程序存储器EM写信号。 EMRD:程序存储器EM读信号。 PCOE:将程序计数器PC的值送到地址总线ABUS上。 EMEN:将程序存储器EM与数据总线DBUS接通,由EMWR 和EMRD决定是将DBUS数据写到EM中,还是 从EM读出数据送到DBUS。 IREN:将程序存储器EM读出的数据打入指令寄存器IR 和微指令计数器uPC。 EINT:中断返回时清除中断响应和中断请求标志,便于下次中断。 ELP: PC打入允许,与指令寄存器的IR3、IR2位结合,控制程序跳转。 MAREN:将数据总线DBUS上数据打入地址寄存器MAR。 MAROE:将地址寄存器MAR的值送到地址总线ABUS上。 OUTEN:将数据总线DBUS上数据送到输出端口寄存器OUT 里。 STEN:将数据总线DBUS上数据存入堆栈寄存器ST中。 RRD:读寄存器组R0-R3,寄存器R?的选择由指令的最低两位决定。 RWR:写寄存器组R0-R3,寄存器R?的选择由指令的最低两位决定。 CN:决定运算器是否带进位移位,CN=1带进位,CN=0不带进位。 FEN:将标志位存入ALU内部的标志寄存器。 X2:X1:X0: X2、X1、X0三位组合来译码选择将数据送到DBUS

计班计算机组成原理复习重点白中英版

计算机组成原理课程总结&复习考试要点 一、考试以讲授过的教材中的内容为主,归纳要点如下: 第1章 -第2章计算机概念运算方法和运算器 (一)学习目标 1.了解计算机的分类和应用。 2.掌握计算机的软、硬件构成。 3.掌握计算机的层次结构。 3.掌握数的原码、反码、补码的表示方法。 4.掌握计算机中数据的定点表示和浮点表示方法,并熟练掌握各种表示方法下所能表示的数据的范围。 5.理解定点加法原理及其判断溢出的方法。 6.了解计算机定点乘法、除法的实现方法。 7.了解浮点加法,乘法,除法的实现方法。 8.理解ALU运算器的工作原理及其扩展方法。 (二)第1章学习内容 第一节计算机的分类和应用 要点:计算机的分类,计算机的应用。 第二节计算机的硬件和软件 要点:了解计算机的硬件构成及各部分的功能;了解计算机的软件分类和发展演变。 第三节计算机系统的层次结构 要点:了解计算机系统的层次结构。 (三)第2章学习内容 第一节数据和文字的表示方法 要点:△定点数的表示方法,及其在原码、反码和补码表示下的数值的范围;△○浮点数的表示方法及其不同表示格式下数据的表示范围;常见汉字和字符的几种表示方法; 第二节定点加法、减法运算 要点:△补码加、减法及其溢出的检测方法;二进制加法器和十进制加法器的逻辑构成。 第三节定点乘法运算 要点:原码并行乘法原理;不带符号的阵列乘法器;补码并行乘法原理;○直接补码阵列乘法器。 第四节定点除法运算 要点:理解原码除法原理以及并行除法器的构成原理。 第五节多功能算术/逻辑运算单元 要点:△74181并行进位运算器;74182进位链;△○多位ALU的扩展。 第六节浮点运算运算和浮点运算器

计算机组成原理课程设计基本模型机设计与实现

课程设计(大作业)报告 课程名称:计算机组成原理 设计题目:基本模型机设计与实现 院系:信息技术学院 班级:计算机科学与技术3班 设计者: 学号: 指导教师:________________________________ 设计时间: 昆明学院 信息技术学院 课程设计(大作业)任务书 姓名:院(系):信息技术学院 专业:计算机科学与技术学号:课程设计题目:基本模型机设计与设计课程设计要求: 本课程设计以ZYE1603E计算机组成原理及系统结构教学实验系统为平台,设计完成。 1. 按给定的数据格式和指令系统,设计一个微程序控制器。 2. 设计给定机器指令系统以及微程序流程图,按微指令格式写出微程序的为指令代码。 3. 连接逻辑电路完成启动、测试、编程、测试、效验和运行,并观测运行过程及结果。 4. 将微程序控制器模块与运算器模块,存储器模块联机,组成一台模型计算机。 5. 用微程序控制器控制模型机的数据通路。 6. 通过在模型机上运行由机器指令组成的简单程序,掌握机器指令与微指令的关系, 建立计算机整机的概念,掌握计算机的控制机制。 7. 按指定的应用项目进行汇编指令格式及功能设计,并设计相应的机器指令代码,按照模型机数据通路设计实现机器指令功能的微程序。在PC机上编辑机器指令和微程序, 装载代码到ZYE1603B实验系统并运行,实现应用要求。 工作计划及安排:

2017年6月19日上午:集中进行课程设计题目的讲解和分解,提出学生需要掌握的硬件和软件方面的知识和要求;以及在课程设计期间的安全和纪律要求,熟悉开发环境 (ZYE1603B (4401 机房)。 2017年6月19日下午:查阅资料、确定题目,了解各人(小组)设计安排,就设计过程进行集中讲解,解决设计过程存在的问题。 2017年6月20日~6月22日:在机房进行系统的设计。 2017年6月23日上午:分组讨论,进行交流,了解学生的掌握情况,对本周的学习情况进行总结,对后续的设计提出要求;对存在的问题进行分析和解决。(机房)。 2017年6月23日下午:答辩,成绩评定。 指导教师签字_________________ 2017年6月19日

计算机组成原理 实验八 简单模型计算机实验

实验八 简单模型计算机实验 一、实验目的 1)通过实验分析简单模型机结构,了解计算机的工作原理。 2)掌握计算机微程序控制器的控制方法,掌握计算机指令执行过程 二、实验原理 基本整机模型数据框图如图所示,计算机数据通路的控制将由微程序控制器来完成,CPU从内存中取出一条机器指令到指令执行结束的一个指令周期全部由微指令组成的序列来完成,即一条机器指令对应一个微程序。 数据的通路从程序计数器PC的地址送到主存的地址寄存器,根据地址寄存器的内容找到相应的存储单元。 存储器中的数据是指令时,那么数据是从RAM送到总线,再从总线送到IR 中。 存储器中的数据是需要加工的数据时,那么数据是从RAM送到总线,再动总线送到通用寄存器中等待加工。 数据加工过程中,两个数据是从总线上将数据分别分时压入两个暂存器中,等待运算部件的加工,在数据加工完成以后。运算结果是通过三太门送到总线上。 三态门的控制时由微控制器来控制。

图:模型机的数据通路图 三、实验过程 1.连线 按实验逻辑原理图连接以下控制信号。 1)时钟单元(CLOCK UNIT)的T1-T4接到微程序控制单元(MAIN CONTROL UNIT)

的T1-T4. 2)手动控制开关单元(MANUAL UNIT)的KA ,KB接到指令单元(INS UNIT) 的KA,KB。 3)指令单元(INS UNIT)的J(1)-J(5)、SE6-SE0、B-IR 接到的微程序控制单 元(MAIN CONTROL UNIT)的J(1)-J(5)、SE6-SE0、B-IR。 4)输入/输出单元(INPUT/OUTPUT UNIT)IO-W,IO-R接到微程序控制单元(MAIN CONTROL UNIT)的IO-W,IO-R,Ai接到地址单元(ADDRESS UNIT)的A0. 5)主存储器单元(MEM UNIT)M-W、M-R接到微程序控制单元(MAIN CONTROL UNIT)的M-W、M-R,A7-A0 接到地址单元(ADDRESS UNIT)的A7-A0. 6)地址单元(ADDRESS UNIT)的B-AR、B-PC、PC+1、PC-B接到微程序控制单元 (MAIN CONTROLUNIT)的B-AR、B-PC、PC+1、PC-B. 7)通用寄存器单元(REG UNIT)的B-R、R0-B 接到微程序控制单元(MAIN CONTROL UNIT)的B-DR、DR-B。 8)把算术逻辑单元(ALU UNIT)的B-DA1、B-A2、ALU-B Cycn、CyNCn、S3-S0、 M、Ci接到微程序控制单元(MAIN CONTROL UNIT)的B-DA1、B-A2、ALU-B、Cycn、CyNCn、S3-S0、M、Ci。 2.写入、检验微代码 这项操作与实验七的操作过程相同 3.装入机器指令汇编程序操作 1)微程序控制单元(MAIN CONTROL UNIT)编程开关置于“RUN”状态。 2)手动控制开关单元(MANUAL UNIT)的“STEP/RUN”开关置于“STEP”状态。 3)手动控制开关单元(MANUAL UNIT)的KA,KB开关置于1、0写主存储器状 态。 4)拨动开关从11—0—1,产生一个负脉冲,清零程序计数器PC、微地址 寄存器。 5)拨动“START”按钮一次,从微程序控制存储器的00H微地址开始执行微指 令,暂停在0AH微地址处。 6)此时在输入数据开关上拨入实验用机器指令汇编程序表中对应00H地址的

计算机组成原理课设(多寄存器减法、右移位、输入输出、转移指令实验计算机设计)

目录 1课设目的 (1) 2课设内容 (1) 3课设计算机的设计 (1) 3.1设计整机逻辑框图 (1) 3.2指令系统的设计 (3) 3.3微指令的格式设计及微操作控制部件的组成原理 (6) 3.4微程序设计 (9) 3.5编写调试程序 (12) 3.6编写实验程序 (14) 4实验计算机的组装 (15) 5、实验计算机的调试 (18) 5.1.调试前准备 (18) 5.2.程序调试过程 (18) 5.3调试结果 (19) 5.4出错和故障分析 (21) 5.4.1出错分析 (21) 5.4.2故障分析查找 (21) 5.4.3确认是否属故障 (22) 5.4.4正确判断故障原因 (22) 6心得体会 (22) 7参考文献 (22)

1课设目的 (1)组成一个复杂的计算机整机系统—模型机,输入程序并运行; (2)了解微程序控制器是如何控制模型机运行的,掌握整机动态工作过程; (3)定义几条机器指令,编写相应微程序并具体上机调试. (4)完成多寄存器算术减法\右移位\输入输出\转移指令实验计算机设计。 2课设内容 利用EL-JY-II型计算机组成原理实验仪提供的硬件资源,通过设计(包括整机结构设计、指令设计、微程序设计、微指令设计、调试程序设计等)、组装、调试三个步骤完成台微程序控制的简单实验计算机的研制。 完成多寄存器算术减法\右移位\输入输出\转移指令实验计算机设计。 3课设计算机的设计 3.1设计整机逻辑框图 本系统的结构组成为: 1.基板:本部分是8位机和16位机的公共部分,包括以下几个部分:数据输入和输出,显示及监控,脉冲源及时序电路,数据和地址总线,外设控制课设电路,单片机控制电路和键盘操作部分,与PC机通讯的接口,主存储器,电源,CPLD课设板(选件),自由课设区(面包板)。 2.CPU板:本板分为8位机和16位机两种,除数据字长分别为8位和16位以外,都包括以下几个部分:微程序控制器,运算器,寄存器堆,程序计数器,指令寄存器,指令译码电路,地址寄存器,数据、地址和控制总线。 运算器:由算术逻辑单元(ALU)、累加寄存器、数据缓冲寄存器、和状态条件寄存器组成,它是数据加工处理部件。相对控制器而言,运算器接受控制器的命令而进行动作,即运算器所进行的全部操作都是有控制器发出的控制信号来指挥的,所以它是执行部件. 存储器:是保存或“记忆”解题的原始数据和解题步骤。在运算前需要把参加运算的数据和解题步骤通过输入设备送到存储器中保存。 微程序控制器:控制部件通过控制线向执行部件发出各种控制命令,通常把这种控制命令叫做微命令,而执行部件接受命令后的操作叫做微操作。 1

计算机组成原理课程设计

《计算机组成原理》大作业报告 题目名称:交通灯控制系统设计 学院(部 ): 计算机学院 专业:计算机科学与技术 学生姓名: 班级 学号 最终评定成绩:___________________________________ 湖南工业大计算机学院 目录

交通在人们的日常生活中占有重要的地位,随着人们社会活动的日益频繁,这点更是体现的淋漓尽致。交通信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。近年来随着科技的飞速发展,的应用正在不断深入,同时带动传统控制检测技术日益更新。在实时检测和自动控制的机应用系统中,单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。 本系统采用单片机AT89S52为中心器件来设计交通灯控制器,系统实用性强、操作简单、扩展性强。本设计就是采用单片机模拟十字路口交通灯的各种状态显示以及倒计时时间。 本设计系统由单片机I/O 口扩展系统、交通灯状态显示系统、LED数码显示系统、复位电路等几大部分组成。系统除基本的交通灯功能外,还具有倒计时等功能,较好的模拟实现了十字路口可能出现的状况。 软件上采用C51编程,主要编写了主程序,LED数码管显示程序,中断程序延时程序等。经过整机调试,实现了对十字路口交通灯的模拟。 1. 引言 当今,红绿灯安装在各个道口上,已经成为疏导交通车辆最常见和最有效的手段。但这一技术在1 9世纪就已出现了。 1858 年,在英国伦敦主要街头安装了以燃煤气为光源的红,蓝两色的机械扳手式信号灯,用以指挥马车通行。这是世界上最早的交通信号灯。1868年,英国机械工程师纳伊特在伦敦威斯敏斯特区的议会大厦前的广场上,安装了世界上最早的煤气红绿灯。它由红绿两以旋转式方形玻璃提灯组成,红色表示“停止” ,绿色表示“注意” 。1869 年1月2日,煤气灯爆炸,使警察受伤,遂被取消。 电气启动的红绿灯出现在美国,这种红绿灯由红绿黄三色圆形的投光器组成,19xx 年始安装于纽约市5号大街的一座高塔上。红灯亮表示“停止” ,绿灯亮表示“通行”。 19xx 年,又出现了带控制的红绿灯和红外线红绿灯。带控制的4 红绿灯,一种是把压力探测器安在地下,车辆一接近红灯便变为绿灯;另一种是用扩音器来启动红绿灯,司机遇红灯时按一下嗽叭,就使红灯变为绿灯。红外线红绿灯当行人踏上对压力敏感的路面时,它就能察觉到有人要过马路。红外光束能把信号灯的红灯延长一段时间,推迟汽车放行,以免发生交通事故。 信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。19xx 年,联合国《道路交通和道路标志信号协定》对各种信号灯的含义作了规定。绿灯是通行信号,面对绿灯的车辆可以直行,左转弯和右转弯,除非另一种标志禁止某一种转向。左右转弯车辆都必须让合法地正在路口内行驶的车辆和过人行横道的行人优先通行。红灯是禁行信号,面对红灯的车辆必须在交叉路口的停

基本模型机设计及实现

基本模型机设计及实现文件排版存档编号:[UYTR-OUPT28-KBNTL98-UYNN208]

课程设计任务书课程名称:计算机组成原理 设计题目:(共3个课题,最多3人一组,每组任选一题) 1.基本模型机设计与实现; 2.带移位运算的模型机的设计与实现; 3.复杂模型机的设计与实现。 已知技术参数和设计要求: 内容和技术参数: 利用所学过的理论知识,特别是微程序设计的思想,写出要设计的指令系统的微程序。设计环境为TDN-CM+计算机组成原理教学实验系统,微机,虚拟软件。将所设计的微程序在此环境中进行调试,并给出测试思路和具体程序段。最后撰写出符合要求的课程设计说明书、完成答辩。 1.基本模型机设计与实现 指令系统至少要包括六条不同类型指令:如一条输入指令,一条减法指令,一条加法指令,一条存数指令,一条输出指令和一条无条件转移指令。 2. 带移位运算的模型机的设计与实现 在基本模型机的基础上增加左、右循环和左、右带进位循环四条指令 3. 设计不少于10条指令的指令系统。其中,包含算术逻辑指令,访问内存指令,程序控制指令,输入输出指令,停机指令。重点是要包括直接、间接、变址和相对寻址等多种寻址方式。 以上数据字长为8位,采用定点补码表示。指令字长为8的整数倍。微指令字长为24位。

具体要求: 1、确定设计目标 确定所设计计算机的功能和用途。 2、确定指令系统 确定数据的表示格式、位数、指令的编码、类型、需要设计哪些指令及使用的寻址方式。确定相对应指令所包含的微操作。 3、总体结构与数据通路 总体结构设计包括确定各部件设置以及它们之间的数据通路结构。在此基础上,就可以拟出各种信息传输路径,以及实现这些传输所需要的微命令。 综合考虑计算机的速率、性能价格比、可靠性等要求,设计合理的数据通路结构,确定采用何种方案的内总线及外总线。数据通路不同,执行指令所需要的操作就不同,计算机的结构也就不一样。 4、设计指令执行流程 数据通路确定后,就可以设计指令系统中每条指令所需要的机器周期数。对于微程序控制的计算机,根据总线结构,需考虑哪些微操作可以安排在同一条微指令中,哪些微操作不能安排在同一条微指令中。 5、确定微程序地址 根据后续微地址的形成方法,确定每个微程序地址及分支转移地址。 6、微指令代码化 根据微指令格式,将微程序流程中的所有微指令代码化,转化成相应的二进制代码写入到控制存储器中的相应单元中。

计算机组成原理-简单模型机设计课设

目录 摘要 (2) 前言 (3) 正文 (4) 一、设计目的和设计原理 (4) 1.1设计目的 (4) 1.2设计原理 (4) 二、总体设计 (7) 三、详细设计 (8) 3.1运算器的物理结构 (8) 3.2存储器系统的组成与说明 (11) 3.3指令系统的设计与指令分析 (12) 3.4微程序控制器的逻辑结构及功能 (14) 3.5微程序的设计与实现 (18) 四、系统调试 (27) 总结 (29) 参考文献 (30) 致谢 (31)

摘要 根据设计任务书要求,本设计要实现完成一个简单计算机的设计,主要设计部分有运算器,存储器,控制器以及微指令的设计。 其中运算器由运算芯片和寄存器来完成,存储器由总线和寄存器构成,使用硬布线的方式实现控制器,从而完成设计要求。 : 关键词:基本模型机的设计;运算器;存储器;控制器;

前言 计算机组成原理是计算机科学技术学科的一门核心专业基础课程。从课程的地位来说,它在先导课程和后续课程之间起着承上启下的作用。 计算机组成原理讲授单处理机系统的组成和工作原理,课程教学具有知识面广,内容多,难度大,更新快等特点。此次课程设计目的就是为了加深对计算机的时间和空间概念的理解, 增强对计算机硬件和计算机指令系统的更进一步了解。 计算机组成原理课程设计目的是为加深对计算机工作原理的理解以及计算机软硬件之间的交互关系。不仅能加深对计算机的时间和空间的关系的理解,更能增加如何实现计算机软件对硬件操作,让计算机有条不紊的工作。

正文 一、设计目的和设计原理 1.1设计目的 融会贯通计算机组成原理课程中各章的内容,通过知识的综合运用,加深对计算机系统各模块的工作原理及相互联系的认识,特别是对硬连线控制器的认识,建立清晰的整机概念。对计算机的基本组成、部件的设计、部件间的连接、微程序控制器的设计、微指令和微程序的编制与调试等过程有更深的了解,加深对理论课程的理解。 在掌握部件单元电路实验的基础上,进一步将其组成系统地构造一台基本模型计算机。 1.2设计原理 (1)运算器 设计中所用的运算器数据通路,其中运算器由两片74LS181以并/串形成8位字长的ALU构成。运算器的输出经过一个三态门74LS245(U33)到ALUO1插座,实验时用8芯排线和内部数据总线BUSD0~D7插座BUS1~6中的任一个相连,内部数据总线通过LZD0~LZD7显示灯显示;运算器的两个数据输入端分别由二个锁存器74LS273(U29、U30)锁存,两个锁存器的输入并联后连至插座ALUBUS,测试时通过8芯排线连至外部数据总线EXD0~D7插座EXJ1~EXJ3中的任一个;参与运算的数据来自于8位数据开并KD0~KD7,并经过一三态门74LS245(U51)直接连至外部数据总线EXD0~EXD7,通过数据开关输入的数据由LD0~LD7显示。 算术逻辑运算功能发生器 74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M并行相连后连至SJ2插座,测试时通过6芯排线连至6位功能开关插座UJ2,以手动方式用二进制开关S3、S2、S1、S0、CN、M来模拟74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M;其它电平控制信号LDDR1、LDDR2、ALUB`、SWB`以手动方式用二进制开关LDDR1、LDDR2、ALUB、SWB来模拟,这几个信号有自动和手动两种方式产生,通过跳线器切换,其中ALUB`、SWB`为低电平有效,LDDR1、

计算机组成原理课程设计模型机实验报告 精品

实践报告 计算机组成原理--模型机设计报告 作者姓名: 专业:计算机科学与技术 学号: 指导教师: 完成日期:年月号 ******学院 计算机工程系

摘要 “计算机组成原理”是计算机科学与技术系的一门核心专业基础课程,在计算机专业中起了很重要的作用。课程中分部分介绍了计算机的各个部件,我们有必要将它们组合起来以对计算机有一个整体的认识。这次课程设计通过对一个简单模型机的设计与实现,是我们对计算机的基本组成、部件的设计、部件间的连接有更深的理解。依次设计计算机的几个部件并进行连接使成为一个完整的模型机。通过运行和调试,使之正常工作。 关键词:运算器;控制器;存储器;输入输出接口;模型机

正文: 一、课设目的要求: 《计算机组成原理》是一门理论性、实践性均较强的专业基础课,要求学生具有一定的电路分析、指令系统编写能力、软件设计能力。通过计算机组成原理实践周,要突出《计算机组成原理》理论联系实际的特点,培养实践动手能力。 1.培养学生运用理论知识和技能,构建建立问题逻辑结构,锻炼学生分析解决实际 问题的能力。 2.培养学生使用PROTEUS软件分析和设计计算机内部器件的方法和技巧。 3.培养学生调查研究、查阅技术文献、资料、手册以及编写技术文献的能力。 4.通过实践设计,要求学生在指导教师的指导下,独立完成设计课题的全部内容, 包括: (1)通过调查研究和上机实习,掌握PROTEUS软件的设计和仿真调试技能。 (2)掌握计算机系统的组成结构及其工作原理。 (3)设计实现一个简单计算机的模型机,并能够使用PROTEUS软件进行电路仿真验证 二、课设内容: 利用所学的计算机结构和工作原理的知识,要求学生独立完成简单计算机的模型机设计,并用PROTEUS软件进行验证。在分析设计过程中,要求学生养成良好的习惯,学会分析实际问题,并利用所学的知识建立系统的逻辑结构,学会PROTEUS调试技巧和方法,通过逻辑设计和工程设计培养调试硬件电路的实际动手能力。要求学生掌握数字逻辑电路中故障的一般规律,以及排除故障的一般原则和方法;锻炼分析问题与解决问题的能力,在出现故障的情况下,独立分析故障现象,并排除故障。 三、课设设备: 计算机组成原理教学实验系统及电脑一台。 四、模型机组织结构: 组织结构分为运算器控制器存储器输入输出接口。 运算器是数据的加工部件,是CPU的重要组成部分。基本结构中必须有算数/逻辑运算单元、数据缓冲存储器、多路转换器和数据总线等逻辑构件。控制器是计算机的指挥中心,负责决定执行程序的顺序,给出执行指令时机器各部件需要的操作控制命令,由程序计数器、指令寄存器、指令译码器、时序产生器组成。存储器用来

北京工业大学 计算机组成原理课设 报告

计算机组成原理课程设计实验报告 班级:110703 学号:110703xx 姓名:xxx 同组人:xx 日期:2013年6月28日

目录 1.主机系统总体机构框图 ---------------------------------------------------------------3 2.数据通路机构设计 -----------------------------------------------------------------------3 3.各部件详细设计图 -----------------------------------------------------------------------6 4.指令系统中的各种机器指令 -----------------------------------------------------18 5.指令的格式和寻址方式 -------------------------------------------------------------18 6.微程序控制部件的设计方法及调试过程 ---------------------------------20 6.1.时序系统设计方法 -----------------------------------------------------------20 6.2.微指令的详细设计 -----------------------------------------------------------22 6.3.取指公共操作及指令流程 -----------------------------------------------32 6.4.取指微程序及各指令微程序 -------------------------------------------34 6.5.控制部件逻辑连线图及封装图

计算机组成原理课程综述

合肥学院 计算机组成原理综述论文 题目计算机组成原理综述系部计算机科学与技术系专业网络工程 班级网工(2)班 学生姓名邓传君 指导教师张向东 2014 年12 月24 日 计算机组成原理课程综述

内容摘要: 计算机组成原理(COMPUTER ORGANIZATION)是依据计算机体系结构,在确定且分配了硬件子系统的概念结构和功能特性的基础上,设计计算机各部件的具体组成,以及它们之间的连接关系,实现机器指令级的各种功能和特性,这点上说计算机组成原理是计算机体系结构的逻辑实现。 关键词:存储、指令、CPU、控制器、微命令 一、计算机组成原理课程综述 计算机组成原理是计算机应用和计算机软件专业以及其他相关专业必修的专业基础课,它主要讨论计算机各组成部件的基本概念、基本结构、工作原理及设计方法。教学实践证明,通过对该课程的学习,对于建立整机概念,研究各功能部件的相互连接与相互作用,进行各功能部件的逻辑设计,都有着重要的意义。组成原理是计算机类专业的一门主干必修课程,它以层次结构的观点来叙述计算机各主要功能部件及组成原理;以数据信息和控制信息的表示、处理为主线来组织教学。课程内容按横向方式组织,即不是自始至终介绍某一特定计算机的组成和工作原理,而是从一般原理出发,结合实例加以说明。 二、计算机组成原理内容和基本原理 下面是我对这门课程知识点的理解: 1.计算机有运算器、存储器、控制器、输入设备、输出设备五大部件组成。 2.指令和数据以同等地位存放于存储器内,并可按地址寻访。 3.指令和数据均用二进制数表示。 4.指令由操作码和地址码组成,操作码用来表示操作的性质,地址码用来表示操作数在存储器中的位置。 5.指令在存储器内按顺序存放。通常,指令是顺序执行的,在特定条件下,可根据运算结果或根据设定的条件改变执行顺序。 6.机器以运算器为中心,输入输出设备与存储器间的数据传送通过运算器完成。 典型的冯·诺依曼机是以运算器为中心的,现代的计算机已转化为以存储器为中心: 1.运算器用来完成算术运算和逻辑运算,并将运算的中间结果暂存在运算器内。 2.存储器用来存放数据和程序。 3.控制器用来控制、指挥程序和数据的输入、运行以及处理运算结果。 4.输入设备用来将人们熟悉的信息形式转换为机器能识别的信息形式。

实验七基本模型机的设计与实现

实验七 基本模型机的设计与实现 一、实验目的 ⒈在掌握部件单元电路实验的基础上,进一步将其组成系统地构造 一台基本模型计算机。 ⒉为其定义5条机器指令,并编写相应的微程序,上机调试掌握整机 概念。 二、实验设备 Dais-CMH+/CMH 计算器组成原理教学实验系统一台,实验用扁平 线、导线若干。 三、实验原理 部件实验过程中,各部件单元的控制信号是以人为模拟产生为主,而 本次实验将能在微程序控制下自动产生各部件单元的控制信号,实现特 定指令的功能。这里,计算机数据通路的控制将由微程序控制器来完 成,CPU从内存中取出一条机器指令到指令执行结束的一个指令周期全 部由微指令组成的序列来完成,即一条机器指令对应一个微程序。 本实验采用五条机器指令:IN(输入)、ADD(二进制加法)、 STA(存数)、OUT(输出)、JMP(无条件转移),其指令格式如下 (前三位为操作码): ==========================================================助记符 机器指令码 说 明 -------------------------------------------------- ------------- IN R0,SW 0010 0000 数据开关状态 →R0 ADD R0,[addr] 0100 0000 XXXXXXXX R0+[addr]→R0 STA [addr],R0 0110 0000 XXXXXXXX R0→[addr] OUT [addr],LED 1000 0000 XXXXXXXX [addr]→LED JMP addr 1010 0000 XXXXXXXX addr→PC ==========================================================其中IN为单字节(8位),其余为双字节指令,XXXXXXXX为addr对 应的二进制地址码。 根据以上要求设计数据通路框图,如图7-10-1所示。系统涉及到的 微程序流程见图7-7-3,当拟定“取指”微指令时,该微指令的判别测试 字段为P(1)测试。由于“取指”微指令是所有微程序都使用的公用微指 令,因此P(1)的测试结果出现多路分支。本机用指令寄存器的前3位 (IR7~IR5)作为测试条件,出现8路分支,占用8个固定微地址单元。 当全部微程序设计完毕后,应将每条微指令代码化,表7-10-1即为 将图7-10-2的微程序流程图按微指令格式转化而成的“二进制微代码

相关主题
文本预览
相关文档 最新文档