当前位置:文档之家› FPGA EP2C5电路原理图

FPGA EP2C5电路原理图

D

D

C

C

B

B

A A

Title 10k R1610k R1710k R19GND 3V310k R2010k R213V3TDO 16TMS 17TCK 18TDI 19DATA020DCLK 21nCE 22nCONFIG

26nSTATUS

121CONF_DONE

123

MSEL1

125MSEL0126IO, (nCSO)2IO, (ASDO)1U7E

EP2C8Q208C8N

10k R22GND

VCCIO17VCCIO129VCCINT 32VCCIO142VCCIO462VCCINT 66VCCIO471VCCINT 79VCCIO483VCCIO491VCCIO4

98VCCIO3109VCCINT 120VCCIO3122VCCIO3136VCCIO3148VCCIO2166VCCIO2172VCCINT 178VCCIO2183VCCINT

190VCCIO2194VCCIO2202U7F

EP2C8Q208C8N

GND 9GND

25GND

36GND 38GND 49GND 55GND 65GND 73GND 78GND 85GND 93GND 100GND 111GND

119GND 124GND 140GND 153GND 159GND 167GND 174GND 177GND 184GND 186GND 196GND

204U7G

EP2C8Q208C8N GND_PLL150VCCD_PLL1

51

GND_PLL152VCCA_PLL1

53GNDA_PLL154GND_PLL2

154VCCD_PLL2155GND_PLL2156VCCA_PLL2

157

GNDA_PLL2158

U7H EP2C8Q208C8N

GND

GND

3V3

1V21V2GND

0.1u C320.1u C330.1u

C34

0.1u C350.1u C360.1u C370.1u C380.1u

C39

0.1u C400.1u C410.1u C420.1u C430.1u C440.1u C450.1u C460.1u C470.1u C480.1u C49

0.1u C500.1u C510.1u C52

0.1u C530.1u

C54

0.1u C550.1u C561V2GND 1V2GND 3V33V3

3V3

3V3

GND

GND GND GND

0.1u C930.1u C943V3

GND

nCS 1DATA

2VCC 3

GND

4ASDI 5DCLK 6VCC 7

VCC

8U5

EPCS4SI8N

0.1u

C280.1u

C29

1

2

3456789

10

J6

JTAG

TCK

TDO TMS

TDI

3V3

GND TDI

TMS TCK TDO DCLK

DCLK nCS nCS

ASDI

ASDI

nCE

3V3

GND

DATA DATA Conf_Done_s nConfig_s

GND

10k R18conf_Done nConfig

conf_Done nConfig 123456789

10

J15

AS

DCLK

Conf_Done_s nConfig_s DATA ASDI

nCE_s

nCS 3V3

GND

nCE_s nCE VS_32VS_120GS_119GS_360R940R950R920R93VS_32VS_120

GS_36GS_119

GND

1V2

VS_120

GS_119VS_32

GS_36

D

D

C

C B

B

A

A

Title IO, LVDS15p (CRC_ERROR)

3IO, LVDS15n (CLKUSR)

4IO, LVDS14p 5IO, LVDS14n

6IO, LVDS13p, DQ1L0/_8IO, LVDS13n, DQ1L1/_10IO, LVDS12p, DQ1L2/_11IO, LVDS12n, DQ1L3/_

12IO, VREFB1N0

13IO, LVDS8p, (DPCLK0/DQS0L)/(DPCLK0/DQS0L)

14IO, LVDS8n

15IO, LVDS7p, (DPCLK1/DQS1L)/(DPCLK1/DQS1L)

30IO, LVDS7n

31IO, LVDS6n, DQ1L4/_

33IO, DQ1L5/_

34IO, LVDS5p, DQ1L6/_

35IO, VREFB1N137IO, LVDS3n, DQ1L7/_39IO, LVDS2p, DQ1L8/_

40IO, LVDS2n, (DM1L/BWS#1L)/_

41IO

43IO, LVDS0p 44IO, LVDS0n

45IO

46IO, PLL1_OUTp 47IO, PLL1_OUTn

48B A N K 1

U7A

IO, LVDS37n

160IO, LVDS37p, DQ0T0/DQ1T0161IO, LVDS36n, DQ0T1/DQ1T1162IO, LVDS36p, DQ0T2/DQ1T2163IO, LVDS35n, DQ0T3/DQ1T3164IO, LVDS35p, DQ0T4/DQ1T4

165IO, LVDS34n

168IO, LVDS34p, (DPCLK8/DQS0T)/(DPCLK8/DQS0T)

169IO, VREFB2N0

170IO, LVDS33n, DQ0T5/DQ1T5171IO, LVDS33p, DQ0T6/DQ1T6173IO, LVDS31n, DQ0T7/DQ1T7

175IO, LVDS31p, _/DQ1T8

176IO, LVDS29n, DM0T/(DM1T0/BWS#1T0)

179IO, LVDS29p 180IO, LVDS28n 181IO, LVDS28p

182IO, LVDS26p, DQ1T0/DQ1T9

185IO, LVDS25n

187IO, LVDS25p, DQ1T1/DQ1T10188IO, LVDS24n, DQ1T2/DQ1T11189IO, LVDS24p, DQ1T3/DQ1T12

191IO, VREFB2N1

192IO, LVDS23n, DQ1T4/DQ1T13193IO, LVDS23p, DQ1T5/DQ1T14

195IO, LVDS21n 197IO, LVDS21p 198IO, LVDS19n

199IO, LVDS19p, (DPCLK10/DQS1T)/(DPCLK10/DQS1T)

200IO, LVDS18n, DQ1T6/DQ1T15201IO, LVDS18p, DQ1T7/DQ1T16203IO, LVDS17p, DQ1T8/DQ1T17205IO, LVDS17n (DEV_CLRn)

206IO, LVDS16p, (DM1T/BWS#1T)/(DM1T1/BWS#1T1)

207IO, LVDS16n

208B A N K 2

U7B

EP2C8Q208C8N

IO, LVDS56n

105IO, LVDS56p, (DM1R/BWS#1R)/(DM1R1/BWS#1R1)

106IO, LVDS54n (INIT_DONE)

107IO, LVDS53n, DQ1R8/DQ1R17110IO, LVDS53p, DQ1R7/DQ1R16112IO, LVDS52n, DQ1R6/DQ1R15113IO, LVDS52p, DQ1R5/DQ1R14114IO, LVDS51n, DQ1R4/DQ1R13115IO, LVDS51p, DQ1R3/DQ1R12

116IO, VREFB3N1117IO, DQ1R2/DQ1R11

118IO, LVDS48n, DQ1R1/DQ1R10

127IO, LVDS48p, (DPCLK6/DQS1R)/(DPCLK6/DQS1R)

128IO, LVDS47n, DQ1R0/DQ1R9

133IO, LVDS47p, (DPCLK7/DQS0R)/(DPCLK7/DQS0R)

134IO, LVDS46n, DM0R/(DM1R0/BWS#1R0)

135IO, LVDS46p, _/DQ1R8137IO, LVDS45n, DQ0R7/DQ1R7138IO, LVDS45p, DQ0R6/DQ1R6139IO, LVDS44n, DQ0R5/DQ1R5141IO, LVDS44p, DQ0R4/DQ1R4142IO, LVDS42n, DQ0R3/DQ1R3143IO, LVDS42p, DQ0R2/DQ1R2

144IO, VREFB3N0

145IO, LVDS39n, DQ0R1/DQ1R1146IO, LVDS39p, DQ0R0/DQ1R0

147IO, LVDS38n 149IO, LVDS38p 150IO, PLL2_OUTp 151IO, PLL2_OUTn

152B A N K 3

IO, LVDS54p (nCEO)

108U7C

EP2C8Q208C8N

IO, LVDS77n (DEV_OE)

56

IO, LVDS77p, (DM1B/BWS#1B)/(DM1B1/BWS#1B1)

57IO, LVDS76p, DQ1B8/DQ1B1758IO, LVDS76n, DQ1B7/DQ1B1659IO, LVDS75p, DQ1B6/DQ1B1560IO, LVDS75n, DQ1B5/DQ1B14

61IO, LVDS74p, (DPCLK2/DQS1B)/(DPCLK2/DQS1B)

63IO, LVDS74n 64IO, VREFB4N1

67IO, LVDS70p, DQ1B4/DQ1B1368IO, LVDS70n, DQ1B3/DQ1B1269IO, LVDS68p, DQ1B2/DQ1B1170IO, LVDS68n, DQ1B1/DQ1B1072IO, LVDS67p, DQ1B0/DQ1B9

74IO, LVDS67n 75IO, LVDS66p 76IO, LVDS66n

77IO

80IO, LVDS64p 81IO, LVDS64n

82IO, LVDS63p, DM0B/(DM1B0/BWS#1B0)

84IO, LVDS63n, _/DQ1B886IO, LVDS62p, DQ0B7/DQ1B787IO, LVDS62n, DQ0B6/DQ1B6

88IO, VREFB4N0

89IO, LVDS61p, DQ0B5/DQ1B590IO, LVDS61n, DQ0B4/DQ1B4

92IO, LVDS60p, (DPCLK4/DQS0B)/(DPCLK4/DQS0B)

94IO, LVDS60n 95IO, DQ0B3/DQ1B3

96IO, LVDS59p, DQ0B2/DQ1B297IO, LVDS59n, DQ0B1/DQ1B199IO, LVDS58p, DQ0B0/DQ1B0

101IO, LVDS58n 102IO, LVDS57p 103IO, LVDS57n

104B A N K 4

U7D

EP2C8Q208C8N

CLK0, LVDSCLK0p INPUT 23CLK1, LVDSCLK0n INPUT 24CLK2, LVDSCLK1p INPUT 27CLK3, LVDSCLK1n INPUT 28CLK4, LVDSCLK2p INPUT 132CLK5, LVDSCLK2n INPUT 131CLK6, LVDSCLK3p INPUT 130CLK7, LVDSCLK3n INPUT 129U7I

EP2C8Q208C8N VCC 1GND

2CLK

3EN 4

50MHz Y23V3

0.1u

C75

GND

12345

67

89

1011

1213

1415

1617

181920212223

2425

26272829

3031

3233

3435

3637

3839

40

J1

5V 3V3GND

IO_CLKIN_n IO_CLKIN_p IO_CLKOUT_n IO_CLKOUT_p IO_D0IO_D1IO_D2IO_D3IO_D4IO_D5IO_D6IO_D7IO_D8IO_D9IO_D10IO_D11IO_D12IO_D13IO_D14IO_D15IO_D16IO_D17IO_D18IO_D19IO_D20

IO_D21

IO_D22

IO_D23IO_D24IO_D25IO_D26IO_D27IO_D28IO_D29IO_D30IO_D31SDRAM_A[0..12]

SDRAM_DQ[0..15]

SDRAM_CLK SDRAM_WE_n

SDRAM_CKE

SDRAM_CAS_n SDRAM_RAS_n SDRAM_CS_n

SDRAM_BA0

SDRAM_BA1

SDRAM_LDQM

SDRAM_UDQM

KEY[0..1]SW[0..3]

LEDR[0..1]

SW[0..3]

SDRAM_DQ[0..15]

SDRAM_A[0..12]

SDRAM_CS_n

SEG[0..7]

SEG[0..7]

SEG_S[0..3]

SEG_S[0..3]

UART_TXD

UART_RXD

UART_TXD

UART_RXD PS_CLK PS_DAT

PS_CLK PS_DAT

VGA_R[0..3]VGA_G[0..3]VGA_B[0..3]

VGA_B[0..2]

VGA_G[0..2]VGA_R[0..2]VGA_HS

VGA_VS VGA_HS

VGA_VS AUD_MCLK

AUD_I2C_SCK

AUD_I2C_DAT

AUD_BCLK AUD_ADCDAT AUD_ADCLRC AUD_DACDAT

AUD_DACLRC

AUD_MCLK AUD_I2C_SCK AUD_I2C_DAT AUD_BCLK

AUD_DACDAT

AUD_DACLRC

AUD_ADCDAT

AUD_ADCLRC

SDRAM_CLK

SDRAM_CKE

SDRAM_WE_n

SDRAM_CAS_n SDRAM_RAS_n

SDRAM_BA0

SDRAM_BA1

SDRAM_LDQM

SDRAM_UDQM 50MHz

CLK50

SD_CS_n SD_CLK SD_DAT_Out SD_CS_n SD_DAT_In SD_CLK SD_DAT_Out SD_DAT_In CLK50

USB_RD_N USB_WR

USB_RD_N

USB_WR

USB_TXE_N

USB_RXF_N

USB_TXE_N

USB_RXF_N

USB_D[0..7]

USB_D[0..7]KEY[0..1]

LEDR[0..1]USB_RD_N

USB_D4

USB_D0

USB_D2USB_D1USB_D7USB_D5USB_D6

USB_D3

USB_TXE_N

USB_RXF_N CLK50AUD_ADCLRC AUD_DACLRC AUD_BCLK AUD_DACDAT AUD_ADCDAT AUD_I2C_DAT AUD_I2C_SCK

AUD_MCLK

KEY0KEY1

SD_DAT_IN SD_DAT_OUT

SDRAM_CS_N SDRAM_CKE

SDRAM_CLK

SD_CLK

SDRAM_LDQM

SDRAM_DQ7SDRAM_DQ6SDRAM_DQ5SDRAM_DQ4SDRAM_DQ3SDRAM_DQ2SDRAM_DQ1SDRAM_DQ0SDRAM_WE_N SDRAM_CAS_N SDRAM_RAS_N SDRAM_BA0SDRAM_BA1SDRAM_A10SDRAM_A0SDRAM_A1SDRAM_A2SDRAM_A3SDRAM_A4SDRAM_A5SDRAM_A6SDRAM_A7SDRAM_A8SDRAM_A9SDRAM_A11SDRAM_A12SDRAM_DQ15SDRAM_DQ14SDRAM_DQ13SDRAM_DQ12SDRAM_DQ11SDRAM_DQ10SDRAM_DQ9SDRAM_DQ8SDRAM_UDQM

SW3

LEDR0

LEDR1

SW2

SEG4SEG3SEG7SEG_S3

SEG0

SEG5SEG_S2SEG1SEG_S1SEG2

SW1SW0IO_CLKIN_N IO_CLKIN_P SEG6

SEG_S0

IO_D30IO_D31IO_D28IO_D29IO_D26

IO_D27

IO_D24IO_D25IO_D22

IO_D23IO_D20IO_D21

IO_D18

IO_CLKOUT_P

IO_CLKOUT_N

IO_D19

IO_D16IO_D17

IO_D15IO_D14IO_D12IO_D13IO_D10

IO_D11

IO_D8IO_D9IO_D7IO_D6IO_D5

IO_D4

IO_D3

IO_D2IO_D1IO_D0VGA_HS VGA_VS

VGA_B0

VGA_B1VGA_B2

VGA_G0

VGA_G1

VGA_G2VGA_R0

VGA_R1

VGA_R2UART_RXD UART_TXD PS_DAT

PS_CLK

USB_WR

SPI_CS_n

SPI_CS_N

SD_CS_N

SPI_CS_N

D

D

C

C

B

B

A A

Title GND

3V3

SDRAM_A0SDRAM_A1SDRAM_A2SDRAM_A3

SDRAM_A4SDRAM_A5SDRAM_A6SDRAM_A7SDRAM_A8SDRAM_A9SDRAM_A10SDRAM_A11SDRAM_CLK SDRAM_CKE SDRAM_WE_n SDRAM_CAS_n SDRAM_RAS_n SDRAM_CS_n SDRAM_BA0SDRAM_BA1SDRAM_DQ0SDRAM_DQ1SDRAM_DQ2SDRAM_DQ3SDRAM_DQ4SDRAM_DQ5SDRAM_DQ6SDRAM_DQ7SDRAM_DQ8SDRAM_DQ9SDRAM_DQ10SDRAM_DQ11SDRAM_DQ12SDRAM_DQ13SDRAM_DQ14SDRAM_DQ15

SDRAM_LDQM

SDRAM_UDQM

V D D

1DQ0

2

V D D Q

3

DQ14DQ25G N D Q 6

DQ37DQ48V D D Q 9DQ510DQ611G N D Q 12DQ713V D D 14LDQM 15WE 16CAS 17

RAS 18CS 19BA020BA121

A1022A0

23

A124A225A326V D D

27

G N D 28A429A530A631A732A833A934A1135

NC

36CKE 37CLK 38

UDQM 39NC 40

G N D 41DQ842V D D Q 43DQ944DQ1045G N D Q 46DQ1147DQ1248V D D Q

49

DQ1350DQ1451G N D Q

52

DQ15

53G N D

54

U1

S D R A M I S 42S 16400

SDRAM_CLK

SDRAM_WE_n SDRAM_CKE SDRAM_CAS_n SDRAM_RAS_n

SDRAM_CS_n

SDRAM_BA0

SDRAM_BA1

SDRAM_A[0..12]

SDRAM_DQ[0..15]

SDRAM_LDQM SDRAM_UDQM

SDRAM_A[0..12]SDRAM_DQ[0..15]SDRAM_LDQM SDRAM_UDQM GND

3V3

0.1u C10.1u C20.1u C30.1u C40.1u C50.1u C60.1u

C7

SDRAM_A124.7k

R91SDRAM_CS_n

3V3

123456789101112

131415

VCC DAT0DAT1DAT2DAT3CMD CLK VSS SW1

SW0VSS

U11

GND

SD_DAT_Out SD_CS_n SD_DAT_In

SD_CLK

3V3

SD_DAT_Out

SD_CS_n SD_DAT_In

SD_CLK

4.7k R1 4.7k

R2

0.1u

C8

GND

3V3

12

34

J7

Header 4

CS 1CLK

2DI 3DO 4GND 5

ORG

6NC 7VCC 8

U3

93LC46SN

3V3

GND

0.1u

C10SD_CLK

SD_DAT_Out SD_DAT_In 22R27SPI_CS_N

SPI_CS_N

SD_DAT_IN SD_DAT_OUT SD_CLK SPI_CS_N SPI_CS_N

D

D

C

C

B

B

A A

Title GND

GND

GND

0.1u

C57

GND

5V

3V3

120

R30

+3.3v

3V3

GND

10u C58

Vin

31

Vout 2

GND

U8

LD1117-5V

Vin 31

Vout 2GND

U9LD1117-3.3V

Vin 31

Vout 2GND

U10LD1117-1.2V

1

2

3

546SW5SW DPDT

0.1u

C59

GND

GND

0.1u

C61

GND

5V

1V2

GND GND

0.1u

C65GND

3V3

V_Source

10u C62

10u C66

0.1u

C63

0.1u

C67

10u C60

10u C64

10u C68

1

23J14

DC5V

D

D

C

C

B

B

A

A

Title NC01

DAT 2GND 3VCC 4NC15CLK 6SHIELD07SHIELD18SHIELD2

9J9

PS2

3V3

0.1uF

C85GND

2k R76

2k R755V

120R81120R861

2

3

D1

BAT54S

1

2

3

D2

BAT54S

GND GND

3V3

PS_DAT

PS_CLK

123456

7891110

J13

RS232

131011812

9

147C1+

1

C2+4

GND 15

C1-3VCC

16

C2-5V-

6V+

2U13

MAX3232CPE

3V3

0.1uF

C86GND

GND

GND

UART_RXD

1112

131415

12345678917

16

10J10VGA

VGA_HS

VGA_VS GND

VGA_R VGA_G VGA_B

1k R722k R734k R741k R782k R794k R801k R832k R844k

R85VGA_R0VGA_R1VGA_R2VGA_G0VGA_G1VGA_G2VGA_B0VGA_B1UART_TXD

UART_RXD

UART_TXD

UART_RXD PS_CLK PS_DAT

PS_CLK PS_DAT

VGA_R[0..2]VGA_G[0..2]

VGA_B[0..2]VGA_B2VGA_B[0..2]VGA_G[0..2]VGA_R[0..2]VGA_HS

VGA_VS

VGA_HS VGA_VS

0.1uF C870.1uF C880.1uF C890.1uF C90UART_TXD

D

D

C

C

B

B

A

A

Title 1423KEY0

1423KEY1

0.1u C81

0.1u C82

GND 100k

R42

100k

R43

GND

3V3

120R53120R5212345SW0

12345SW1

SLIDE_SW

12345SW2

SLIDE_SW

12345SW3

SLIDE_SW

GND

120

R40

KEY1KEY0

3V3SW0GND

120

R41

3V3SW1

GND

120

R56

3V3SW2GND

120

R57

3V3

SW3

KEY[0..1]SW[0..3]

LEDR[0..1]KEY[0..1]LED0LED1

330

R46

330

R47

LEDR0

LEDR1

GND

SW[0..3]LEDR[0..1]e

1

d

2

d p

3

c

4

g

5

s e l 4

6

s e l 3

8

b

7

s e l 2

9

f

10

a

11

s e l 1

12

U12

GND GND SEG_S0SEG_S1SEG0

SEG1

S E G 2

S E G 3

S E G 4

SEG5

S E G 6

S E G 7

330R60330

R62

330R68330R66330R65330

R61

330

R69

330R6710k R6310k R64SEG_S2SEG_S310k R7010k R71SEG[0..7]SEG[0..7]S 0

S1

S2

S3

SEG_S[0..3]

SEG_S[0..3]

S0

S1

S2

S32

13

Q18050

2

13

Q28050

2

13

Q38050

2

13

Q48050

D

D

C

C

B

B

A A

Title LEDR[0..1]LEDR[0..1]

KEY[0..1]SW[0..3]

KEY[0..1]

SW[0..3]

SDRAM_A[0..12]SDRAM_CLK SDRAM_WE_n SDRAM_CAS_n SDRAM_RAS_n SDRAM_CKE SDRAM_CS_n SDRAM_BA0SDRAM_BA1

SDRAM_LDQM SDRAM_UDQM SDRAM_DQ[0..15]SDRAM_A[0..12]

SDRAM_CLK

SDRAM_WE_n

SDRAM_CAS_n SDRAM_RAS_n SDRAM_CKE SDRAM_CS_n SDRAM_BA0SDRAM_BA1

SDRAM_LDQM

SDRAM_UDQM

SDRAM_DQ[0..15]KEY[0..1]SW[0..3]LEDR[0..1]SEG[0..7]SEG_S[0..3]

U_SW_KEY_LED SW_KEY_LED.SchDoc

UART_RXD PS_DAT

AUD_BCLK

AUD_ADCDAT AUD_ADCLRC SEG_S[0..3]SEG[0..7]UART_TXD PS_CLK VGA_B[0..2]VGA_G[0..2]VGA_R[0..2]VGA_HS VGA_VS AUD_MCLK AUD_I2C_SCK AUD_I2C_DAT AUD_BCLK AUD_ADCDAT AUD_ADCLRC

AUD_DACDAT AUD_DACLRC

U_WM8731

WM8731.SchDoc

AUD_MCLK AUD_I2C_DAT AUD_I2C_SCK AUD_DACDAT AUD_DACLRC 50MHz UART_RXD

PS_CLK PS_DAT

VGA_B[0..2]VGA_G[0..2]VGA_R[0..2]VGA_VS

VGA_HS UART_TXD AUD_MCLK AUD_I2C_DAT AUD_I2C_SCK AUD_DACDAT AUD_DACLRC

AUD_BCLK AUD_ADCDAT AUD_ADCLRC

SEG_S[0..3]

SEG[0..7]SDRAM_CLK SDRAM_WE_n SDRAM_CKE SDRAM_CAS_n SDRAM_RAS_n SDRAM_CS_n SDRAM_BA0SDRAM_BA1SDRAM_A[0..12]SDRAM_DQ[0..15]SDRAM_LDQM SDRAM_UDQM SD_DAT_Out

SD_CS_n SD_DAT_In SD_CLK SPI_CS_N

U_Memory

Memory.SchDoc

SD_CS_n SD_CLK SD_DAT_Out SD_DAT_In SD_CS_n SD_CLK SD_DAT_Out

SD_DAT_In U_Power_Supply

Power_Supply.SchDoc

U_Control_Power_GND Control_Power_GND.SchDoc

UART_TXD UART_RXD

PS_CLK PS_DAT VGA_R[0..2]VGA_G[0..2]VGA_B[0..2]VGA_HS VGA_VS U_PS2_VGA_RS232_LCD

PS2_VGA_RS232_LCD.SchDoc

USB_RD_N

USB_WR USB_TXE_N USB_RXF_N

USB_D[0..7]

U_USB_device

USB_device.SchDoc USB_RD_N

USB_WR USB_D[0..7]

USB_TXE_N USB_RXF_N

SDRAM_A[0..12]SDRAM_DQ[0..15]SDRAM_CLK SDRAM_WE_n SDRAM_CKE SDRAM_CAS_n SDRAM_RAS_n SDRAM_CS_n SDRAM_BA0SDRAM_BA1SDRAM_LDQM SDRAM_UDQM

KEY[0..1]SW[0..3]LEDR[0..1]SEG[0..7]SEG_S[0..3]UART_TXD

UART_RXD PS_CLK PS_DAT VGA_R[0..3]VGA_G[0..3]VGA_B[0..3]VGA_HS VGA_VS AUD_MCLK AUD_I2C_SCK AUD_I2C_DAT AUD_BCLK AUD_ADCDAT AUD_ADCLRC AUD_DACDAT AUD_DACLRC

50MHz SD_DAT_Out SD_CS_n SD_DAT_In SD_CLK USB_RD_N USB_WR

USB_TXE_N USB_RXF_N USB_D[0..7]

SPI_CS_N U_IO_Bank s IO_Bank s.SchDoc

USB_TXE_N USB_RXF_N USB_D[0..7]

USB_RD_N USB_WR

SPI_CS_N

SPI_CS_N

D

D

C C

B

B

A A

Title USB5V

USB_RD_N

USB_WR

USB_TXE_N USB_RXF_N USB_D[0..7]

USB_D[0..7]

D+D-GND

VCC

12345

6J8

USB_Blaster L4

GND

GND

0.1u

C69

USB5V

USBDP USBDM

GND

D0

1

D42D23VCCIO 4D15D76GND 7NC 8D59D610D3

11PWREN#12RD#13WR

14USBDP

15USBDM 163V3OUT 17GND 18RESET#19VCC 20GND 21TXE#22RXF#23NC 24AGND 25TEST 26OSCI 27OSCO

28U14

FT245RL

USBDM USBDP

USB5V

0.1u

C25

VCCIO_3V3

VCCIO_3V3GND

USB_D0

USB_D1

USB_D2

USB_D3

USB_D4USB_D5

USB_D6USB_D7USB_RD_N

USB_WR

USB_RXF_N

USB_TXE_N

GND

0.1u

C96

USB_RD_N

USB_WR USB_TXE_N USB_RXF_N

D

D

C

C

B

B

A A

Title 3V3

AUD_BCLK AUD_DACDAT AUD_DACLRC AUD_ADCDAT AUD_ADCLRC 220uF C72220uF C7347k R3747k

R38

GND 680R36330R351uF C74220p

C8047k

R39

1uF C701uF C71 5.6k R34 5.6k R33220p C77220p C76 5.6k R32 5.6k R29AGND AGND

AGND L 1R 2G N D

3

N C R 4N C L 5

J2

L 1R 2G N D

3

N C R 4N C L

5

J4L 1R 2G N D

3

N C R 4N C L

5J3AUD_MCLK AUD_I2C_SCK AUD_I2C_DAT 2k

R242k

R25

AGND AGND

AGND

AGND

0.1u C7810uF C79

AGND AGND

0.1u C17AGND A_3V3A_3V3

10uF C18

0.1u C313V3

AUD_MCLK AUD_I2C_SCK

AUD_I2C_DAT

AUD_BCLK AUD_ADCDAT AUD_ADCLRC

AUD_DACDAT AUD_DACLRC AUD_MCLK AUD_I2C_SCK AUD_I2C_DAT AUD_BCLK AUD_DACDAT AUD_DACLRC

AUD_ADCDAT AUD_ADCLRC 3V3

L2A_3V3

L3

GND

AGND

DBVDD

1

CLKOUT 2BCLK 3DACDAT 4DACLRC 5ADCDAT 6ADCLRC 7HPVDD 8LHPOUT 9RHPOUT 10HPGND 11LOUT 12ROUT 13AVDD

14AGND

15VMID 16MICBIAS 17MICIN 18RLINEIN 19LLINEIN 20MODE 21CSB 22SDIN 23SCLK 24XTI/MCLK

25XTO 26DCVDD 27DGND

28U2

WM8731LINE_IN

MIC_IN LINE_OUT

BLUE

PINK GREEN

GND

0.1u C910.1u C9212

J5

Header 2

AUD_I2C_SCK AUD_I2C_DAT

计算机网络与通讯实验报告记录

计算机网络与通讯实验报告记录

————————————————————————————————作者:————————————————————————————————日期:

实验名称:RJ-45接口与网卡设置 一.题目 二.实验设备仪器(软件环境) ⒈RJ-45压线钳 ⒉双绞线剥线器 ⒊ RJ-45接头 ⒋双绞线 ⒌网线测试仪 ⒍网卡 三.试验目的 1.掌握使用双绞线作为传输介质的网络连接方法,学会制作RJ45接头。 2.学会测线器的使用方法。 3.学会网卡的安装与设置。 四.试验内容及步骤 1.网线制作 (1)按以下步骤制作网线(直通线): ●抽出一小段线,然后先把外皮剥除一段; ●将双绞线反向缠绕开; ●根据标准排线(注意这里非常重要); ●铰齐线头(注意线头长度); ●插入插头; ●用打线钳夹紧; ●用同样方法制作另一端。 (2)网线的检查、测试 可以使用网线测试仪或万用表测试网线连接逻辑是否正确。网线制作好后,将其两端分别插入网卡和交换机的插口内,开机后对应的指示灯应闪亮。 2.网卡的安装与设置 (1)安装网卡驱动程序 一.将网卡插入计算机主板的插槽内,启动计算机; 二.单击【开始】|【设置】|【控制面板】命令,打开【控制面板】窗口,双击【添加硬件】 图标; 三.弹出【添加硬件向导】,在设备列表中选择所用的网卡设备,插入带有网卡驱动程序的 光盘(或磁盘),按向导提示逐步安装驱动程序; 四.若安装成功,向导会给出正确的提示。

(2)网络协议的添加(此步可略) 一般情况下,安装好网卡的驱动程序以后,最基本的TCP/IP网络协议会自动被添加到系统中。但在某些特殊情况下,需要我们手动添加/删除网络协议: ●单击【开始】|【设置】|【控制面板】命令,打开【控制面板】窗口,双击【网 络连接】图标; ●打开【网络连接】窗口,选中【本地连接】图标,点击右键,在弹出菜单中选 【属性】; ●进入【属性】对话框,选【常规】项,单击【安装】按钮; ●弹出【选择网络组件类型】对话框,在【单击要安装的网络组件类型】列表中 选【协议】,单击【安装】; ●弹出【选择网络协议】对话框,在【网络协议】列表中选择所要的协议,单击 【确定】按钮。 (3)网卡的设置 网卡安装成功后,必须对其进行配置,配置前,必须到网络中心申请到合法的IP地址,并得到网络中心提供的域名及其IP地址、网关的IP地址。 (1)打开【网络连接】中“本地连接”的【属性】窗口; (2)选中【Internet协议(TCP/IP)】,单击【属性】按钮; (3)打开【Internet协议(TCP/IP)属性】窗口,分别设置“IP地址”、“子网掩码”、“默认 网关”、“DNS服务器”等项。 3.网络连通的测试 常用ping命令来测试网络连接,格式: ping [-t] [-a] [-n count] [-l length] [-f] [-i ttl] [-v tos] [-r count] [-s count] [[-j computer-list] | [-k computer-list]] [-w timeout] destination-list 参数含义 -t 校验与指定计算机的连接,直到用户中断。 -a 将地址解析为计算机名。 -n count 发送由count指定数量的ECHO 报文,默认值为 4。 -l length 发送包含由length 指定数据长度的ECHO报文。 默认值为64字节,最大值为8192 字节。 -f 在包中发送“不分段”标志,该包将不被路由上的 网关分段。 -I ttl 将“生存时间”字段设置为ttl指定的数值。 -v tos 将“服务类型”字段设置为tos指定的数值。 -r count 在“记录路由”字段中记录发出报文和返回报文的 路由。指定的Count值最小可以是1,最大可以是 9 。 -s count 指定由count指定的转发次数的时间邮票。 -j computer-list 经过由computer-list指定的计算机列表的路由报 文。中间网关可能分隔连续的计算机(松散的源路 由)。允许的最大IP地址数目是9。 -k computer-list 经过由computer-list指定的计算机列表的路由报

FPGA开发板

FPGA开发板 FPGA开发板ALTERA FPGA是世界上十几家生产CPLD/FPGA的公司中最大的可编程逻辑器件供应商之一,生产的FPGA产品有:FLEX6000/8000/10K、APEX20K、ACEX1K、APEXⅡ、Mercury、Excalibur、Cyclone、Stratix、CycloneⅡ和StratixⅡ等系列。 Altera的FPGA器件采用钢铝布线的先进CMOS技术,具有非常低的功耗和相当高的速度,而且采用连续式互连结构,提供快速的、连续的信号延时。Altera器件密度从300门到400万门,能很容易地集成现有的各种逻辑器件,高集成度的FPGA提供更高的系统性能,更高的可靠性,更高的性能价格比。 Altera Cyclone系列FPGA是Altera公司2003年9月份推出的,基于1.5V,0.13μm 工艺,Cyclone 是一个性价比很高的FPGA系列。其中EP1C3T144是Cyclone系列中的一员,共有2910逻辑单元,59904RAM bits,1个PLLs,最多有104个用户I/O,可以说这款FPGA的资源非常丰富,足够满足大型设计的需要。 本公司因此特推出Cyclone EP1C3T144C8 FPGA开发板,该开发板功能强大,接口丰富,可做大型综合实验。 FPGA开发板硬件资源主要有:

1、Altera EP1C3T144C8 FPGA芯片 2、Altera 串行配置芯片EPCS1 3、DAC0832芯片 4、ADC0809芯片 5、液晶接口 6、TP3057PCM编解码芯片 7、八位高亮数码管 8、八位拨码开关 9、4×4阵列键盘 10、4个复位轻触按键 11、9个高亮发光二极管(红、绿、黄色各三灯) 12、双刀双掷继电器 13、异步通信串口(UART) 14、双有源晶振(24MHz和32.768MHz) 15、5V,3.3V,1.5V电源管理系统 FPGA开发板带以下DEMO程序: 1、FPGA实现流水灯实验 2、FPGA实现电子钟实验 3、FPGA实现串口发送实验 4、FPGA实现串口接收、点阵显示实验 5、FPGA实现矩阵键盘和点阵显示(实时扫描方式) 6、FPGA实现矩阵键盘和数码管显示(延时扫描方式) 7、FPGA实现AD转换、数码显示和拨码选择通道实验 8、FPGA实现DA转换,产生锯齿、三角、正弦波;拨码开关选择波形

郭天祥老师51单片机中矩阵键盘显示程序

3.键盘的应用,第一排。 #include #define uint unsigned int #define uchar unsigned char sbit dula=P2^6; sbit wela=P2^7; void delay(uint); uchar code table[]= { //段选的数字决定显示的数字,这里的是数字0~15 0x3f,0x06,0x5b,0x4f, 0x66,0x6d,0x7d,0x07, 0x7f,0x6f,0x77,0x7c, 0x39,0x5e,0x79,0x71,}; uchar num,temp; void main() { dula=0; wela=1; P0=0xc0; //位选6数码管 wela=0; while(1) { P3=0xfe; temp=P3; temp=temp&0xf0; while(temp!=0xf0) { delay(5); temp=P3; temp=temp&0xf0; while(temp!=0xf0) { temp=P3; switch(temp) { case 0xee:num=1; break; case 0xde:num=2; break; case 0xbe:num=3; break;

case 0x7e:num=4; break; } } while(temp!=0xf0) { temp=P3; temp=temp&0xf0; } } dula=1; P0=table[num-1]; dula=0; } } void delay(uint z) //延时函数 { uint x,y; for(x=z;x>0;x--) for(y=110;y>0;y--); }

兰州大学接口与通讯实验报告汇总

实验6-6 8255并行接口(LED)实验 实验说明 8255工作于方式0,此时PA, PB, PC均为可独立输入输出的并行口。8255的各寄存器对应的端口地址为: PA口:288H PB口:289H PC口:28AH 控制口:28BH 8255的片选信号为CS连138译码器的288H-28FH。由于各PC速度不同,为到达较好的实验效果,可以适当调节LED亮灭的延迟时间。 源代码: data segment ioport equ 0ff00h-0280h io8255a equ ioport+288h ;PA口 io8255b equ ioport+289h ;PB口 io8255c equ ioport+28ah ;PC口 io8255d equ ioport+28bh ;控制口 mess1 db '左循环按1',0dh,0ah,'$' ;提示信息 db '右循环按2',0dh,0ah,'$' db '间隔闪烁按3',0dh,0ah,'$' db '退出按4',0dh,0ah,'$' mess2 db '按无效键继续选择',0dh,0ah,'$' mess3 db '按任意键退回主菜单',0dh,0ah,'$' data ends stack segment sta db 20 dup(?) top equ length sta stack ends code segment assume cs:code,ds:data,ss:stack,es:data start: mov ax,data ;data段装填 mov ds,ax mov ax,stack ;stack段装填 mov ss,ax mov sp,top ;设置栈顶 mov sp,ax

ARM+FPGA开发板规格书

2014

Revision History

阅前须知 版权声明 本手册版权归属广州创龙电子科技有限公司所有,非经书面同意,任何单位及个人不得擅自摘录本手册部分或全部,违者我们将追究其法律责任。本文档一切解释权归广州创龙电子科技有限公司所有。 ?2014-2018Guangzhou TronlongElectronicTechnologyCo.,Ltd. All rights reserved. 公司简介 广州创龙电子科技有限公司(简称“广州创龙” ,英文简称"Tronlong"),是杰出的 嵌入式方案商,专业提供嵌入式开发平台工具及嵌入式软硬件定制设计及技术支持等服务,专注于DSP+ARM+FPGA 三核系统方案开发,和国内诸多著名企业、研究所和高校有密切的技术合作,如富士康、威胜集团、中国科学院、清华大学等国内龙头企业和院校。 TI 嵌入式处理业务拓展经理ZhengXiaolong 指出:“Tronlong 是国内研究OMAP-L138 最深入的企业之一,Tronlong 推出OMAP-L138+Spartan-6三核数据采集处理显示解决方案,我们深感振奋,它将加速客户新产品的上市进程,带来更高的投资回报率,使得新老客户大大受益。” 经过近几年的发展,创龙产品已占据相关市场主导地位,特别是在电力、通信、工控、 音视频处理等数据采集处理行业广泛应用。创龙致力于让客户的产品快速上市、缩短开发周期、降低研发成本。选择创龙,您将得到强大的技术支持和完美的服务体验。 产品保修 广州创龙所有产品保修期为一年,保修期内由于产品质量原因引起的,经鉴定系非 人为因素造成的产品损坏问题,由广州创龙免费维修或者更换。 更多帮助

串行接口实验报告

课程实验报告实验名称:串行接口 专业班级: 学号: 姓名: 同组人员: 指导教师: 报告日期:

实验二 1. 实验目的 (3) 2. 实验内容 (3) 3. 实验原理 (3) 4. 程序代码 (6) 5. 实验体会 (13)

实验二 1.实验目的 1.熟悉串行接口芯片8251的工作原理 2.掌握串行通讯接收/发送程序的设计方法 2.实验内容 通过对8251芯片的编程,使得实验台上的串行通讯接口(RS232)以查询方式实现信息在双机上的。具体过程如下: 1. 从A电脑键盘上输入一个字符,将其通过A试验箱的8251数据口发送出去,然后通过B试验箱的8251接收该字符,最后在B电脑的屏幕上显示出来。 2.从A试验箱上输入步进电机控制信息(开关信息),通过A试验箱的8251数据口发送到B试验箱的8251数据口,在B试验箱上接收到该信息之后,再用这个信息控制B试验箱上的步进电机的启动停止、转速和旋转方向。 3.实验原理 1.8251控制字说明 在准备发送数据和接收数据之前必须由CPU把一组控制字装入8251。控制字分两种:方式指令和工作指令,先装入方式指令,后装入工作指令。 另外,在发送和接收数据时,要检查8251状态字,当状态字报告“发送准备好”/“接收准备好”时,才能进行数据的发送或接收。 2.8251方式指令(端口地址2B9H)

3.8251工作指令(端口地址2B9H) 4.8251状态字(端口地址2B9H) 5.8253控制字(283H) 6.8253计数初值(283H) 计数初值=时钟频率/(波特率×波特率因子)本实验:脉冲源=1MHz 波特率=1200 波特率因=16 计数初值= 1000000/1200*16=52

基于DE2-115开发板的FPGA入门设计实验

基于DE2-115开发板的FPGA入门设计实验 1、Lab1: 4位加法器、减法器的设计 1.1 摘要 在文件add_sub里面的工程文件operation_4.v为顶层文件,该顶层文件包含了三个子模块,分别为数码管显示模块,4位带进位的二进制加法器模块和4位带借位的二进制减法器模块,最后通过DE2-115开发板显示实验结果。 1.2 程序 1)add_4bits.v 加法器 module adder_4bits ( input clk, input rst_n, input [3:0] x, input [3:0] y, output reg [3:0] sum, output reg carry_out //溢出位 ); always@(posedge clk or negedge rst_n) begin if(!rst_n)

{carry_out, sum} <= 0; else {carry_out, sum} = x + y; end endmodule 2)substractor_4bits.v减法器module subtractor_4bits ( input clk, input rst_n, input [3:0] x, input [3:0] y, output r eg [3:0] sub, output r eg borrow_out ); always@(posedge clk or negedge rst_n) begin if(!rst_n) {borrow_out, sub} <= 0; else begin

if(x >= y) {borrow_out, sub} = {1'b0, x - y}; else {borrow_out, sub} = {1'b1, x - y}; end end endmodule 3)seg7_lut.v 数码管显示译码模块 module Seg7_lut ( input [3:0] iDIG, output r eg [6:0] oSEG ); always @(iDIG) begin case(iDIG) 4'h1: oSEG = 7'b1111001; // ---t---- 4'h2: oSEG = 7'b0100100; // | | 4'h3: oSEG = 7'b0110000; // lt rt 4'h4: oSEG = 7'b0011001; // | | 4'h5: oSEG = 7'b0010010; // ---m---- 4'h6: oSEG = 7'b0000010; // | |

矩阵键盘的工作原理和扫描确认方式

9.3.1 矩阵键盘的工作原理和扫描确认方式 来源:《AVR单片机嵌入式系统原理与应用实践》M16华东师范大学电子系马潮 当键盘中按键数量较多时,为了减少对I/O 口的占用,通常将按键排列成矩阵形式,也称为行列键盘,这是一种常见的连接方式。矩阵式键盘接口见图9-7 所示,它由行线和列线组成,按键位于行、列的交叉点上。当键被按下时,其交点的行线和列线接通,相应的行线或列线上的电平发生变化,MCU 通过检测行或列线上的电平变化可以确定哪个按键被按下。 图9-7 为一个 4 x 3 的行列结构,可以构成12 个键的键盘。如果使用 4 x 4 的行列结构,就能组成一个16 键的键盘。很明显,在按键数量多的场合,矩阵键盘与独立式按键键盘相比可以节省很多的I/O 口线。 矩阵键盘不仅在连接上比单独式按键复杂,它的按键识别方法也比单独式按键复杂。在矩阵键盘的软件接口程序中,常使用的按键识别方法有行扫描法和线反转法。这两种方法的基本思路是采用循环查循的方法,反复查询按键的状态,因此会大量占用MCU 的时间,所以较好的方式也是采用状态机的方法来设计,尽量减少键盘查询过程对MCU 的占用时间。 下面以图9-7 为例,介绍采用行扫描法对矩阵键盘进行判别的思路。图9-7 中,PD0、PD1、PD2 为3 根列线,作为键盘的输入口(工作于输入方式)。PD3、PD4、PD5、PD6 为4根行线,工作于输出方式,由MCU(扫描)控制其输出的电平值。行扫描法也称为逐行扫描查询法,其按键识别的过程如下。 √将全部行线PD3-PD6 置低电平输出,然后读PD0-PD2 三根输入列线中有无低电平出现。只要有低电平出现,则说明有键按下(实际编程时,还要考虑按键的消抖)。如读到的都是高电平,则表示无键按下。 √在确认有键按下后,需要进入确定具体哪一个键闭合的过程。其思路是:依

串口通信实验报告全版.doc

实验三双机通信实验 一、实验目的 UART 串行通信接口技术应用 二、实验实现的功能 用两片核心板之间实现串行通信,将按键信息互发到对方数码管显示。 三、系统硬件设计 (1)单片机的最小系统部分 (2)电源部分 (3)人机界面部分

数码管部分按键部分 (4)串口通信部分 四、系统软件设计 #include #define uchar unsigned char #define uint unsigned int void send(); uchar code0[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f};//0-9的数码管显示 sbit H1=P3^6; sbit H2=P3^7;

sbit L1=P0^5; sbit L2=P0^6; sbit L3=P0^7; uint m=0,i=0,j; uchar temp,prt; /***y延时函数***/ void delay(uint k) { uint i,j; //定义局部变量ij for(i=0;i

{ m=1; //KEY1键按下 return(m); } if(H2==0) { m=4; //KEY4键按下 return(m); } } } if(L2==0) { delay(5); if (L2==0) { L2=0;H1=1;H2=1; if(H1==0) { m=2; //KEY2键按下 return(m); } if(H2==0) { m=5; //KEY5键按下 return(m); } } } if(L3==0) { delay(5); if (L3==0) { L3=0;H1=1;H2=1; if(H1==0) { m=3; //KEY3键按下

矩阵键盘控制12864显示最经典程序

#include //这个程序的功能:用4*4的矩阵键盘(接P3口)按键盘k1——k16中的任何一个键ki #include //12864液晶上显示数字i-1 (液晶数据口接P0) #define uint unsigned int//键盘扫描的思想是将行设置为低,列设置为高,来读取P3口的值,就能知道是哪个按键按下了 #define uchar unsigned char #define LCDdata P0 sbit E = P2^7; sbit RW = P2^6; sbit RS = P2^5; void init(); void delayms(uint x); void displaykey(); void write_com(uchar com);//写命令 void write_data(uchar date);//写数据 uchar temp; //--------------主函数----------------- void main() { init();// P3=0xfe;//P3=0xfd;//P3=0xfb;//P3=0xf7; while(1) { displaykey(); } } //-------------液晶初始化---------------- void init() { write_com(0x01); write_com(0x02); write_com(0x06); write_com(0x0e); } //------------毫秒延时--------------- void delayms(uint x) { uchar i; while(x--) {

接口实验报告

接口与通讯实验报告 实验一可编程定时计数器8253 一、实验目的 掌握8253的基本工作原理和编程方法。 二、实验内容 按图16虚线连接电路,将计数器0设置为方式0,计数器初值为N(N≤0FH),用手动逐个输入单脉冲,编程使计数值在屏幕上显示,并同时用逻辑笔观察OUT0电平变化(当输入N+1个脉冲后OUT0变高电平)。 三、编程提示 8253控制寄存器地址283H 计数器0地址280H 计数器1地址281H CLK0连接时钟1MHZ 四·实验原理图 五、实验流程图

六实验代码及注释 ioport equ 0ff00h-0280h;定义宏 io8253a equ ioport+283h io8253b equ ioport+280h code segment assume cs:code start :mov al,10h mov dx,io8253a;输入端口地址 out dx,al;延时 mov dx,io8253b mov al,0fh;初始化 out dx,al;延时 l: in al,dx call disp;调用子程序 push dx;入栈 mov ah,06h mov dl,0ffh int 21h pop dx;出栈 jz l;转l mov ah,4ch;已完,退出 int 21h disp proc near;定义范围为段内push dx and al,0fh;初始化al mov dl,al cmp dl,9;比较

jle num add dl,7 num : add dl,30h mov ah,02h int 21h mov dl,0dh int 21h mov dl,0ah int 21h pop dx ret disp endp code ends end start 七.实验报告 1.8255简介 8255是Intel公司生产的可编程并行I/O接口芯片,有3个8位并行I/O口。具有3个通道3种工作方式的可编程并行接口芯片(40引脚)。其各口功能可由软件选择,使用灵活,通用性强。8255可作为单片机与多种外设连接时的中间接口电路。 8255作为主机与外设的连接芯片,必须提供与主机相连的3个总线接口,即数据线、地址线、控制线接口。同时必须具有与外设连接的接口A、B、C口。由于8255可编程,所以必须具有逻辑控制部分,因而8255内部结构分为3个部分:与CPU连接部分、与外设连接部分、控制部分。 2.8255外部特性及引脚功能 RESET:复位输入线,当该输入端处于高电平时,所有内部寄存器(包括控制寄存器)均被清除,所有I/O口均被置成输入方式。 CS:芯片选择信号线,当这个输入引脚为低电平时,即/CS=0时,表示芯片被选中,允许8255与CPU进行通讯;/CS=1时,8255无法与CPU做数据传输. RD:读信号线,当这个输入引脚为低跳变沿时,即/RD产生一个低脉冲且/CS=0时,允许8255通过数据总线向CPU发送数据或状态信息,即CPU从8255读取信息或数据。 WR:写入信号,当这个输入引脚为低跳变沿时,即/WR产生一个低脉冲且/CS=0时,允许CPU将数据或控制字写入8255。

单片机课程设计4X4矩阵键盘显示要点

长沙学院 《单片机原理及应用》 课程设计说明书 题目液晶显示4*4矩阵键盘按键号 程序设计 系(部) 电子与通信工程系 专业(班级) 电气1班 姓名龙程 学号2011024109 指导教师刘辉、谢明华、王新辉、马凌 云 起止日期2014.5.19—2014.5.30

长沙学院课程设计鉴定表

《单片机技术及应用》课程设计任务书系(部):电子与电气工程系专业:11级电子一班指导教师:谢明华、刘辉

目录 前言 (5) 一、课程设计目的 (6) 二、设计内容及原理 (6) 2.1 单片机控制系统原理 (6) 2.2阵键盘识别显示系统概述 (6) 2.3键盘电路 (7) 2.4 12864显示器 (8) 2.5整体电路图 (9) 2.6仿真结果 (9) 三、实验心得与体会 (10) 四、实验程序 (10) 参考文献 (18)

前言 单片机,全称单片微型计算机(英语:Single-Chip Microcomputer),又称微控制器 应(不用外接硬件)和节约成本。它的最大优点是体积小,可放在仪表内部,但存储量小,输入输出接口简单,功能较低。由于其发展非常迅速,旧的单片机的定义已不能满足,所以在很多应用场合被称为范围更广的微控制器;从上世纪80年代,由当时的4位、8位单片机,发展到现在的32位300M的高速单片机。现代人类生活中所用的几乎每件有电子器件的产品中都会集成有单片机。手机、电话、计算器、家用电器、电子玩具、掌上电脑以及鼠标等电子产品中都含有单片机。汽车上一般配备40多片单片机,复杂的工业控制系统上甚至可能有数百片单片机在同时工作!单片机的数量不仅远超过PC机和其他计算机的总和,甚至比人类的数量还要多。 是以电流刺激液晶分子产生点、线、面配合背部灯管构成画面。由一定数量的彩色或黑白像素组成,放置于光源或者反射面前方。液晶显示器功耗低,因此倍受工程师青睐,适用于使用电池的电子设备。英国科学家在上世纪制造了第一块液晶显示器即LCD。而第一台可操作的LCD基于动态散射模式(Dynamic Scattering Mode,DSM),是RCA公司乔治·海尔曼带领的小组开发的。 LED点阵屏通过LED(发光二极管)组成,以灯珠亮灭来显示文字、图片、动画、视频等,是各部分组件都模块化的显示器件,通常由显示模块、控制系统及电源系统组成。LED点阵显示屏制作简单,安装方便,被广泛应用于各种公共场合,如汽车报站器、广告屏以及公告牌等。 交叉处不直接连通,而是通过一个按键加以连接。这样,一个端口(如P1口)就可以构成4*4=16个按键, 键盘是合理的。

接口实验报告

接口实验报告 一、实验目的 掌握I/O地址译码电路的工作原理。 二、实验内容 将接口实验包中所带的EX-138.DSN文件用ISIS 7打开。如图2-1-1所示。 图2-1-1 138实验图 其中74LS273是一种带清除功能的8D触发器,D0~D7为数据输入端,Q0~Q7为数据输出端,在本8086的实验中用作地址锁存器。第一脚MR:主清除端,低电平触发,即当为低电平时,芯片被清除,输出全为0;第11脚CLK:触发端,上升沿触发,即当CLK 从低到高电平时,D0~D7的数据通过芯片,为0时将数据锁存,D0~D7的数据不变。 1.连接AD4~AD9等几根线,使得138译码器Y0#~Y7#译码出的地址依次分别是Y0#: 200H~20FH; Y1#:210H~21FH;……;Y7#:270h~27FH 2.利用Source->Add/Remove Source files中添加源程序EX-138.ASM(在实验包中已 提供),该程序向240H端口写任意数据。如果是第一次运行,Source Code Filename

这一项选New来调入源程序。如图2-1-2所示 图2-1-2 添加源程序 3.在Source菜单下点击“Build All”,汇编源代码(全部编译);执行程序,查看Y0~Y7 中是否Y4#脚输出为有效(管脚出的有颜色的小方块为红色是高电平,蓝色为低电平),如图2-1-3所示。 图2-1-3 输出结果 4.改变程序中的输出地址,使得Y0#, Y1#,Y6#,Y7#分别有效。 .8086 .MODEL SMALL .stack .data address word 260h //使Y6#有效,Y1#有效为210h,Y7#有效为270h,Y0#有效为200h .code start: mov ax,@data mov ds,ax mov dx,address mov al,0 out dx,al jmp $

FPGA开发板EP1C12用户手册(一版)

使用手册

目 录 第一章综述 (1) EP1C12核心板资源介绍 (1) FPGA开发板资源介绍 (2) 第二章 系统模块功能介绍 (5) EP1C12核心板模块说明 EP1C12F324C8芯片说明 (7) NOR FLASH模块说明 (8) SRAM模块说明 (9) FPGA接口I/O说明 (10) 调试接口JTAG、AS说明 (11) 其它功能模块 (12) EP1C12核心板使用注意事项 (15) FPGA开发平台模块说明 液晶显示模块 (17) RTC实时时钟模块 (19) USB接口模块 (19) 音频CODEC接口模块 (20) EEPROM存储模块 (21) 数字温度传感器模块 (22) 其它功能模块 (23) FPGA开发平台使用注意事项 (24) 附表一 核心板载资源与FPGAEP1C12I/O接口对照表 (25) 附表二 EP1C12与开发板硬件资源I/O接口对照表 (30)

第一章综述 FPGA开发来台是根据现代电子发展的方向,集EDA和SOPC系统开发为一体的综合性实验开发系统,除了满足高校专、本科生和研究生的SOPC教学实验开发之外,也是电子设计和电子项目开发的理想工具。整个开发系统由核心板EP1C12、SOPC开发平台和扩展板构成,根据用户不同的需求配置成不同的开发系统。 EP1C12核心板 EP1C12核心板为基于Altera Cyclone器件的嵌入式系统开发提供了一个很好的硬件平台,它可以为开发人员提供以下资源: 1主芯片采用Altera Cyclone器件EP1C12F324C8 2EPCS4I8配置芯片 34个用户自定义按键 44个用户自定义LED 51个七段码LED 6标准AS编程接口和JTAG调试接口 750MHz高精度时钟源 8三个高密度扩展接口 9系统上电复位电路 10支持+5V直接输入,板上电源管理模块 系统主芯片采用324引脚、BGA封装的E1C12 FPGA,它拥有12060个LE,52个M4K 片上RAM(共计239616bits),2个高性能PLL以及多达249个用户自定义IO。同时,系统还可以根据用户不同的设计需求来更换其它不同系列的核心板,如: EP1C6、EP2C20、EP3C25等。所以,不管从性能上而言,还是从系统灵活性上而言,无论您是初学者,还是资深硬件工程师,它都会成为您的好帮手。

矩阵键盘显示系统

1 4×4矩阵式键盘识别显示系统概述 矩阵式键盘模式以N个端口连接控制N*N个按键,实时在LED数码管上显示按键信息。显示按键信息,既降低了成本,又提高了精确度,省下了很多的I/O 端口为他用,相反,独立式按键虽编程简单,但占用I/O口资源较多,不适合在按键较多的场合应用。并且在实际应用中经常要用到输入数字、字母、符号等操作功能,如电子密码锁、电话机键盘、计算器按键等,至少都需要12到16个按键,在这种情况下如果用独立式按键的话,显然太浪费I/O端口资源,为了解决这一问题,我们使用矩阵式键盘。 矩阵式键盘又称行列键盘,它是用N条I/O线作为行线,N条I/O线作为列线组成的键盘。在行线和列线的每个交叉点上设置一个按键。这样键盘上按键的个数就为N×N个。这种行列式键盘结构能有效地提高单片机系统中I/O口的利用率。 最常见的键盘布局如图1.1所示。一般由16个按键组成,在单片机中正好可以用一个P口实现16个按键功能,这也是在单片机系统中最常用的形式,本设计就采用这个键盘模式。 图1.1 键盘布局

2系统主要硬件电路设计 2.1单片机控制系统原理 图2.1 单片机控制系统原理框图 2.2单片机主机系统电路 AT89C52单片机是51系列单片机的一个成员,是52单片机的简化版。内部自带2K字节可编程FLASH存储器的低电压、高性能COMS八位微处理器,与Intel MCS-52系列单片机的指令和输出管脚相兼容。由于将多功能八位CPU和闪速存储器结合在单个芯片中,因此,AT89C52构成的单片机系统是具有结构最简单、造价最低廉、效率最高的微控制系统,省去了外部的RAM、ROM和接口器件,减少了硬件开销,节省了成本,提高了系统的性价比。 图2.2 单片机主机系统图

广工计算机接口与通信实验报告(原创)

接口与通讯实验报告 1、8253定时/计数器实验 2、8255并行接口实验 3、数字式时钟(电子钟) 4、D/A(数/模)转换实验 5、A/D(模/数)转换实验 学生学院计算机学院 专业班级 学号 311000 学生姓名 指导教师 2013年1 月1日 __计算机__学院__2010级计算机科学与技术_专业__四___班___组、学号3110006015 姓名张法光协作者_________ 教师评定_________________ 实验题目 8253定时/计数器实验

一、实验目的与要求 学习8253可编程定时器/计时器定时方法。学习8253多级串联实现大时间常数的定时方法。学习8086/86控制8253可编程定时器的方法。 二、实验内容 用8253对标准脉冲信号进行计数,就可以实现定时功能。用板上的1MHz作为标准信号,将8253可编程计数器/定时器的时间常数设在1000000次,就可以在定时器的管脚上输出1秒针高/1秒钟低得脉 冲信号。因为8253每个计数器只有十六位,要用两个计数器才能实现一百万次的技术,实现每一秒输 出状态发生一次反转。 1、实验电路 2、实验连线 8253的CS,接地址译码CS4,8253_GATEO,接VCC(是+5V),8253_GATE1,也接VCC(是+5V)。8253_CLK1,接1MHz。具体连线见下表: 3、实验原理 8253即可做定时器又可作计数器: (1)计数:计数器装入初值后,当GATE为高电平时,可用外部事件为CLK,脉冲对计数值进行减 1计数,每来一个脉冲减1,当计数值减至0时,在OUT端输出一个标志信号。 (2)定时:计数器装入初值后,当GATE为高电平时,由CLK脉冲触发开始自动计数,当计数到零时,发计数结束定时信号。 8253控制字

Altium公司的FPGA开发板的原理图

1 12 23 34 45 56 67 78 8 D D C C B B A A 1 02 1/02/20101:34:44 PM NB3000_Top.SchDoc Project Title Size: Date:File:Revision:Sheet of Time:A2Sheet Title NB3000 Top Level Assy:81 NB3000AL - Altera D-820-0053 Altium Limited 3 Minna Close Belrose NSW 2085Australia PSU PSU.SchDoc SRAM SRA M1 SRAM_256Kx 16_TSOP44 STATUS_LED U SE R _STATUS_L E DS DB_LEDS_0603 SRAM1 SRAM2 MEM_COMMON DAU_RESET_SW BUZZER ONE_WIRE_DB_PB SW DIP USERIO EXT_A RS232 KEYBOARD MOUSE TFT_IO DB_PROGRAM STATUS_LED USER_LEDS RELAY I2C CODEC VGA ETH DBSD DBUSB PWM SPDIF DAC ADC RS485 MIDI DB_JTAG DB_CLOCKS DB_SPI ISP176X PROTOTYPE SPAREIO TFT_TSC FPGA _USE R FPGA.SCHDOC INT EXT V IDE O_OU T VGA_OUT.SCHDOC CON CON_VGA CON_VGA_DB15 HOST_JTAG LED1LED2 1WID DB_PROGRAM CLK_PLL FLASH_BOOT HOSTUSB SRAM RTC SD HOST_AUDIO DB_JTAG DB_CLOCKS FLASH_USER DB_SPI PB_A EXTSPI FLASH_GOLDEN DIAGCOMMS FPGA _HOST HOST_FPGA.SchDoc HOST_JTAG HOST_JTA G HOST_JTAG.SchDoc INT EXT R S232 RS232_HIN232 EXT INT KE Y BOA RD PC_PS2 RS232# KBD#MSE# RS232 KEYBOARD EXT INT MOUSE PC_PS2 DIPSW DB_RESET CON CON_DBU SB CON_MINI_USBB_RA_KME04-USBMU03A01-1 DBUSB DBUSB# CON CON_DBSD CON_SD_KSDC012551 DBSD EXT INT DBU SB_TX RX USB_CY7C68001-56LFC.SchDoc INT EXT E TH Ethernet_RTL8201CL.SchDoc ETH CLK_PLL CL K_PL L CLK_ICS307-02_PLL 1WID NB_ID 1WB_DS2502_ID CON CON_HOST_USB CON_MINI_USBB_RA_KME04-USBMU03A01-1 TFT_TSC TFT_TOUCH L CDTFT TSC_XPT2046.SchDoc TFT_TSC TFT_IO CON CON_MOU SE CON_PS2PORT_MINIDIN6F_BLACK INT CON PDA _SW ITCHE S SW_PB_SPNOx5_SMD INT TE ST_RE SE T SW_RESET_SPNO CON USERPOWER CON_IO CON_USER_20WBOXHDRRAMx 2 UIO BUZZER CODEC_AUD AUDIO SPK_L SPK_R HOST_AUDIO AIN AOUT_PBA A UDIO_A MP AUDIO_AMP_NB2C PB_AIN AUDIO SPK_L USER_LEDS CON U SE R _L E D LED_RGB_SMDx 8.SCHDOC USER_LEDS VGA# VGA SW SRAM SRA M2 SRAM_256Kx 16_TSOP44 RELAYS CON R E L AY RELAY_X4_IM03GR RELAY PWM CON PW M PWM_5.8A_30V_X4 PWM 1V21V82V53V35V0 PBPOW E R 1V21V82V53V35V0 I2C DIGITAL CODEC_AUD AIN A UDIO_CODE C Audio_Codec.SchDoc CODEC SPK_L SPK_R CON_SPE AKE R S CON_EXT_SPK SPK_R PB_AOUT PBIO LED1LED2 LED1_EXT LED2_EXT L E D_HOST LED_RGB_SMDx 2 SPDIF CON_SPDIF CON_SPDIF_INOUT_A SPDIF SPI CON DAC DAC_DAC084S085_SPI SPI CON A DC ADC_ADC084S021_SPI DAC ADC CON CON_E TH CON_ETHERNET_RJ45_LEDS ETH# TFT_IO TFT_TOUCH CON_L CDTFT CON_FFC40_LCDTFT.SCHDOC CON CON_RE L AY CON_RELAYx 4_KMRJIO3_5MM_12WAY CON CON_RS232 CON_RS232DCE_DB9_TH BOOT_FLASH MOUNTS Mounts.SchDoc INT EXT R S485 RS485_ISL8491 CON_PSU PWJACK+SWITCH.SchDoc HOST_USB HOSTUSB# EXT INT HOST_USB_TXR X USB_CY7C68001-56LFC.SchDoc VBATT CON_BA TT CON_BATT_COIN VBATT VBATT RTC R T CL OCK CLK_PCF2123_RTC HOST_RTC USERPOWER U SE R _POW E R USERPWR.SCHDOC CON CON_HOST_SD CON_SD_KSDC012551 RS485#CON CON_RS485 CON_RS485_RJ45 INT EXT MIDI INTE RFA CE MIDI_FULL CON CON_MIDI CON_MIDI_DIN5 MIDI# MIDI RS485HOST_AUDIO PBCTRL DB_PROGRAM HOST_JTAG HOST_ID HOST_CLK HOST_SRAM HOST_LED1 HOST_LED2 HOST_SD DB_SRAM1 DB_SRAM2 DB_MEM DB_STATUS ADC# RELAYS# PWM# DAC# UIO_PWR DB_JTAG DB_CLOCKS PB_SPI USER_FLASH DB_SPI EXT ONE_WIRE_DB_PB AIN I2C SPI AOUT CTRL PBPOWER CON_PE R IPHE R AL _BRD PBCON USER_LEDS SW_PDA SPARE_IO CON_L E DKBD CON_NB3000_LEDKB SERFLASH SYSBOOT FLASH_M25PX0_SPI_8Mbit GOLD_FLASH SERFLASH GOL DE N FLASH_M25PX0_SPI_8Mbit FLASH U SE R _FL A SH FLASHSPI_M25PX0 INT SW _DIP8_SM T SW_DIP8_SMT CON CON_KE YBOAR D CON_PS2PORT_MINIDIN6F_BLACK CON CON_USB1 CON_USBA_RA_UPRIGHT LEDS# ATE DIAGCOMMS A TE INTE R FACE CON_NB3000_ATE_INTF ISP176X PORT1 PORT2 PORT3 U SB_U SE RHOST USB_ISP1760 ATE VGA#SPDIF UIO ADC#DAC#AUDIO HOST_JTAG PWM RS232#RS485#KBD#MSE#MIDI# HOST_ID A TE INTE R FACE VGA#SPDIF UIO ADC# DAC# AUDIO HOST_JTAG PWM# RS232#RS485#KBD#MSE#MOUSE ISP176X PORT1 PORT2 PORT3 PROTOTYPE U SE R _PROTOTYPE _AR E A PROTOTYPE_A PROTOTYPE CON CON_AU DIO CON_AUDIO_AC99_NOMIC.SCHDOC DIAGCOMMS MIDI# HOST_ID SW# SPAREIO MEM COMM ON_ME M OR Y CommonMemory CON CON_ADC CON_ADCx 4_KMRJIO3_5MM_6WAY CON CON_DAC CON_DACx 4_KMRJIO3_5MM_6WAY CON CON_PW M CON_PWMx4_KMRJIO3_5MM_6WAY CON CON_USB2 CON_USBA_RA_UPRIGHT CON CON_USB3 CON_USBA_RA_UPRIGHT SRAM SRA M_HOST SRAM_256Kx 16_TSOP44 TFT_TOUCH INT U SB_CL K OSC_24MHZ.SchDoc HOST_USB.XTALIN HOST_USB.XTALOUT CMOSOUT XTALIN XTALOUT OSC DBUSB.XTALIN

相关主题
文本预览
相关文档 最新文档