当前位置:文档之家› 数字电子技术课程设计(汽车尾灯控制电路)

数字电子技术课程设计(汽车尾灯控制电路)

数字电子技术课程设计(汽车尾灯控制电路)
数字电子技术课程设计(汽车尾灯控制电路)

湖南工程学院

课程设计

课程名称数字电子技术

课题名称汽车尾灯控制电路

专业电气工程及其自动化

班级0884

学号200813010401

姓名简长青

指导教师赵振兴

2010年10月7日

湖南工程学院

课程设计任务书

课程名称:数字电子技术

题目:汽车尾灯控制电路

专业班级:电气0884 班

学生姓名:简长青学号:01

指导老师:赵振兴

审批:

任务书下达日期2010年9月27日设计完成日期2010年10月7日

目录

一总体设计思路(含电路原理框图):

1.1 电路设计原理框图

具体的电路要求及功能表如下:

a)输入变量定义:

脚踏制动器信号名称:U;U为0时无制动,U为1时制动停车信号名称:S;S为0时停车,S为1时汽车工作

左转弯控制信号名称:L;L为1时向左转

右转弯控制信号名称:R;R为1时向右转

b) 控制电路工作表:

二各个模块的设计

2.1 产生000—100—110—111—000的时序电路模块:

J0=1 K0=Q2、 J1=Q0 K1=Q2、 J2=Q1 K2=1

则电路图

2.2 当电路输出不是周期性亮灭时而是时钟脉冲频率闪亮均亮

或均灭电路

经过分析可以得到满足要求的电路图如下图所示:

2.3 清零信号模块

由于每次控制开关的输入信号发生改变时,如果6只灯的状态不及时清零则输出与要求不符。为了实现当四个开关其中任何一个有动作(输入信号发生改变)时,都对6只灯进行短暂清零我想到使用ONESHOT触发器。但是EWB没有芯片74122,查阅资料找到芯片Monostable来代替74122。

首先设计出如下芯片Monostable性能测试电路:

发现开关A有动作瞬间,Q输出持续时间极短高电平。因此芯片Monostable能够实现所要求的电路。经过调试设计出如下电路:

该电路特点是:四个开关其中任何一个有动作(输入信号发生改变)时,输出一个短暂的高电平。

三模块的连接

由电路工作表知:

时序电路模块的输入信号可用74151来进行输入选择,从而实现所要求的输出。组合电路模块的输出信号与时序电路模块的输出信号相与即可得到要求的输出信号。但是经过调试发现电路存在问题,不同的状态变换时如不经行清零则输出会出现无法预料的错误。因此每当电路状态发生改变时,都对六只灯进行短暂的清零(清零时间若为0.69毫秒人眼无法分辨)。

基于上述的连接电路思路最后我确定了下图所示电路连接方案:

四最终设计电路

五电路功能演示:

5.1 停车时,6只尾灯按时钟脉冲频率闪亮:

5.2 汽车在行驶,无制动、无左右转向(即s=1 u=0 r=0 l=0)

5.3 汽车在行驶,无制动、无左转有右转(即s=1 u=0 r=1 l=0)

5.4 汽车在行驶,无制动、无右转有左转(即s=1 u=0 r=0 l=1)

电子设计毕业设计-汽车尾灯控制电路设计论文资料-正文

1 引言 在日新月异的21世纪里,电子产品得到了迅速发展。许多电器设备都趋于人性化、智能化,这些电器设备大部分都含有CPU 控制器或者是单片机。单片机以其高可靠性、高性价比、低电压、低功耗等一系列优点,近几年得到迅猛发展和大范围推广,广泛应用于工业控制系统、通讯设备、日常消费类产品和玩具等。并且已经深入到工业生产的各个环节以及人民生活的各个方面,如车间流水线控制、自动化系统等、智能型家用电器(冰箱、空调、彩电)等。用单片机来控制的小型电器产品具有便携实用,操作简单的特点。 本文设计的汽车尾灯控制电路属于小型智能电子产品。利用单片机进行控制,实时时钟芯片进行记时,外加掉电存储电路和显示电路。此设计具有相当重要的现实意义和实用价值。 2 系统概述 本设计以AT89S52单片机为核心,构成单片机控制电路,完成对它们的自动调整和掉电保护。人机接口由四个按键来实现,用这四个按键对汽车左转,右转,停车和检测进行控制。。软件控制程序实现所有的功能。整机电路使用+5V 稳压电源,可稳定工作。系统框图如图2-1所示,其软硬件设计简单,可广泛应用于长时间工作的系统中。 图2-1 系统框图 3 方案选择 由于汽车尾灯控制电路的种类比较多,因此方案选择在设计中是至关重要的。正确地选择方案可以减小开发难度,缩短开发周期,降低成本,更快地将产品推向市场。 ** 方案1——基于AT89S52单片机的汽车尾灯控制电路设计 直接用AT89S52单片机来实现汽车尾灯控制电路设计。AT89S52是一种带8K 字节闪烁可编程可擦除只读存储器的低电压,高性能CMOS 8位微处理器,俗称单片机。单片机的可擦除只读存储器可以反复擦写1000余次。由于将多功能8位CPU 和闪烁存储器组合在单个芯片中,A TMEL 的A T89S52是一种高效微控制器,为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。 用单片机来实现汽车尾灯控制电路设计,无须外接其他芯片,充分利用了单片机的资源。 ** 方案2——基于电子元件的汽车尾灯控制电路设计 人机接口 显示电路 软件控制程序 电源电路 单片机控制电路

汽车尾灯控制电路设计

电子技术综合实验2 (开放型实验) 实验指导书 南昌航空大学信息工程学院电工电子教研室 2009年8月

实验一汽车尾灯控制电路设计 一、设计型实验的目的与任务 实验目的:使学生熟悉和掌握实际电子技术应用所需要的完整流程,即电路原理图设计、电路性能仿真与测试、电路板的制作、硬件电路的调试这一整套技能。 实验任务:在计算机上绘制电路原理图,完成设计电路的软仿真。在电子技术实验箱上搭建实物电路,并完成硬件电路的调试。观察实验现象,写出实验报告。 二、设计要求 假设汽车尾部左右两侧各有3个指示灯(可用试验箱上的电平指示二极管模拟) 1、汽车正常运行时指示灯全灭 2、右转弯时,右侧3个指示灯按右循环顺序点亮 3、左转弯时左侧3个指示灯按左循环顺序点亮 4、临时刹车时所有指示灯同时闪烁 三、设计内容 1、列出尾灯与汽车运行状态表,如表1-1所示 表1-1 2、设计总体框图 由于汽车左右转弯时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。由此得出每种运行状态下,个指示灯与各给定条件(S1、S0、CP、Q1、Q0 )的关系,即逻辑功能表如表1-2所示(表中0表示灯灭状态,1表示灯亮状态),由表1-2可得出总体框图,如图1-1所示。 表1-2

图1-1 3、设计单元电路 三进制计数器电路。由双JK 触发器74LS76构成,可根据表1-2进行设计。汽车尾灯电路。其显示驱动电路由6个发光二极管和6个反相器构成。译码电路由三线译码器74LS138和6个与非门构成。74LS138的三输入端A2、A1、A0分别按Q1、Q0,而Q1Q0是三进制计数器的输出端 Y 0,Y 1,Y 2,依次为0有效(Y 3,Y 4,Y 5的符号为“1”无效),即反相器G1~G3的输出端也依次为0,故指示灯D1→D2→D3顺序点亮,示意汽车右转弯。若上述条件不变,而S1=1,则74LS138对应的输出端Y 4,Y 5,Y 6依次为0有效,即反相器G4~G6的输出端也依次为0,故指示灯D4→D5→D6顺序点亮,示意汽车左转弯。当G=0,A=1时,74LS138的输出端全为1,G6~G1的输出端也全为1,指示灯全灭:当G=0,A=CP 时,指示灯随CP 的频率闪烁。 开关控制电路。设73LS138和显示驱动电路的使能端信号分别为G 和A ,根据总体功能表分析及组合得G 、A 与给定条件(S1、S0、CP )的真值表,如表1-3所示,真值表经过整理得逻辑表达式为 10G S S =⊕ 10101010A S S S S CP S S S S CP =+=? 表3-3 开关控制 CP 使能信号 S 1 S 0 G A 0 0 Х 0 1 0 1 Х 1 1 1 0 Х 1 1 1 1 CP 0 CP 4、设计汽车尾灯总体参考电路 由步骤3可得出汽车尾灯总体电路(参考),如图1-2所示

数电课设汽车尾灯控制电路终审稿)

数电课设汽车尾灯控制 电路 文稿归稿存档编号:[KKUY-KKIO69-OTM243-OLUI129-G00I-FDQS58-

课程设计课程名称数字电子技术 课题名称汽车尾灯控制电路 专业自动化 班级1591班 学号 姓名黄建龙 指导老师程春红 2017年 03 月 16 日

电气信息学院 课程设计任务书 课题名汽车尾灯控制电路 姓黄建龙专自动化班1591班学21 指导老程春红 课程设计时 一、任务及要求 任务:假设汽车尾部左右量测各有3个指示灯(用发光二极管模拟)1.汽车正常运行时指示灯全灭;2.右转弯时,右侧3个指示灯按右循环顺序点亮;3.左转弯时,左侧3个指示灯按左循环顺序点亮;4.临时刹车时所有指示灯同时闪烁。要求:1.设计思路清晰,给出整体设计框图,画出整机原理图;2.给出具体设 计思路,设计各单元电路、电路器件;3.总电路设计;4.进行实验仿真调试,验 证设计结果;5.编写设计说明书;6.所有图纸和说明书用计算机打印。 二、进度安排 第一周: 周一:课题内容介绍和查找资料; 周二~周三:方案设计,电路仿真,周三下午检查设计方案及仿真结果; 周四~周日:周四上午领元器件;安装、调试电路; 第二周: 周一~周三:安装、调试电路; 周四:验收电路,收元器件,整理实验室,撰写设计报告,打印相关图纸; 周五:答辩,收设计报告。 三、参考资料 1.康华光主编. 电子技术基础(数字部分),高等教育出版社。 2.阎石主编. 电子技术基础(数字部分),清华大学出版社。 3.任为民主编. 电子技术基础课程设计,中央广播电视大学出版社。 4.彭介华主编. 电子技术课程设计指导,高等教育出版社。 5.谢自美主编.《电子线路设计、实验、测试》,华中理工出版社。 目录 一、课程设计的任务要求---------------------------------------3 二、设计方案-------------------------------------------------4

基于单片机-AT89C51-的汽车尾灯控制电路课程设计

物理与电子信息系 课程设计报告 课程名称:单片机课程设计 题目:汽车尾灯的设计 学生姓名:李海标学号:11409321 学生姓名:唐凯学号:11409310 系部:物理与电子信息系 专业年级:电子信息工程专业2011级指导教师:余胜 职称:副教授 湖南人文科技学院物理与电子信息系制

目录 摘要.................................................................................................................................. - 1 - 1、设计课题任务、功能要求说明及总体方案介绍................................................................ - 2 - 1.1设计课题任务............................................................................................................... - 2 - 1.2功能要求说明............................................................................................................... - 2 - 1.3设计课题总体方案介绍及工作原理说明................................................................... - 2 - 1.3.1汽车尾灯的设计思路与频率计算................................................................... - 2 - 1.3.2AT89C51芯片介绍....................................................................................... - 3 - 2、设计课题硬件系统的设计.................................................................................................... - 6 - 2.1设计课题硬件系统各模块功能简要介绍................................................................... - 6 - 2.1.1复位电路........................................................................................................... - 6 - 2.1.2时钟振荡电路................................................................................................... - 7 - 2.1.3独立键盘电路................................................................................................... - 7 - 2.1.4 LED显示电路................................................................................................. - 8 - 2.2设计课题电路原理图、PCB 图、元器件清单.......................................................... - 9 - 2.2.1 原理图............................................................................................................ - 9 - 2.2.2 PCB图........................................................................................................... - 9 - 2.2.3 仿真图............................................................................................................ - 9 - 2.2.4 元器件清单.................................................................................................... - 9 - 3、设计课题软件系统的设计.................................................................................................... - 9 - 3.1设计课题使用单片机资源的情况............................................................................... - 9 - 3.1.1 键盘设定........................................................................................................ - 9 - 3.1.2 发光二级管显示设定.................................................................................. - 10 - 3.2设计课题软件系统程序流程框图............................................................................. - 10 - 3.2.1 主程序流程图................................................................................................ - 10 - 3.2.2键扫程序流程图............................................................................................. - 10 - 3.2.3延时程序流程图............................................................................................. - 11 - 3.2.4 显示程序流程图............................................................................................ - 12 - 3.3设计课题软件系统程序清单..................................................................................... - 13 - 4、仿真结果与误差分析 ......................................................................................................... - 14 - 4.1汽车尾灯控制电路的使用说明................................................................................. - 14 - 4.2汽车尾灯控制仿真结果............................................................................................. - 14 - 4.3硬件调试 .................................................................................................................... - 15 - 4.4设计体会 .................................................................................................................... - 15 - 致谢 ....................................................................................................................................... - 16 - 参考文献 ................................................................................................................................... - 17 - 附录 ....................................................................................................................................... - 18 - 一、原理图........................................................................................................................ - 19 - 二、PCB图 ........................................................................................................................ - 19 - 三、仿真电路图................................................................................................................ - 20 - 四、设计课题元器件清单................................................................................................ - 20 - 五、程序清单.................................................................................................................... - 22 -

课程设计——汽车尾灯控制器的设计1

& 成绩:分 ××××系 课程设计报告书 课程设计名称电子产品综合设计 《 汽车尾灯控制器的设计 题目 学生姓名 专业 班级 : 指导教师 日期:2010年7月5日 {

摘要:本设计根据计算机中状态机原理,利用VHDL设计汽车尾灯控制器的各个模块,并使用EDA 工具对各模块进行仿真验证。汽车尾灯控制器的设计分为4个模块:时钟分频模块、汽车尾灯主控模块、左边灯控制模块和右边灯控制模块。把各个模块整合后就形成了汽车尾灯控制器。通过输入系统时钟信号和相关的汽车控制信号,汽车尾灯将正确显示当前汽车的控制状态。 关键字:时钟信号,EDA工具,状态机 Abstract: This design is according to the computer state machine theory, using VHDL taillight design the various parts of the controller and use the EDA tools for simulation of each taillight controller design is divided into four modules: the clock frequency module, the taillight major control module, left lamp control module and right lamp control module after the formation of a car taillight integrated the input system clock signal and the signal related to vehicle control, vehicle tail lights will correctly display the current state of vehicle control. Key words: The clock signal, EDA tools, the computer state machine theory · -

数字电路课程设计汽车尾灯控制电路设计

汽车尾灯控制电路的设计 目录 1.设计任务和设计要求 (1) 1.1设计任务 (1) 1.2设计要求 (1) 2. 设计原理与总体框图 (1) 3.单元电路设计 (2) 3.1三进制计数器 (2) 3.2汽车尾灯控电路 (3) 3.3开关控制电路 (4) 3.4时钟产生电路 (5) 4.汽车尾灯总体电路 (5) 5.试验方案及体会 (7) 6.器件清单 (7) 7.参考文献 (11)

1.设计任务和设计要求 1.1设计任务 设计一个汽车尾灯控制电路。汽车尾部左右两侧各有3个指示灯。当接通左转、右转、刹车和检查时,指示灯按照指定要求闪烁。 1.2设计要求 设汽车尾灯左右两侧各有3个指示灯(用发光管模拟)。要求是: (1)汽车正常行驶时,尾灯全部熄灭。 (2)当汽车左转弯时,右侧3个指示灯按左循环点亮。 (3)当汽车右转弯时,左侧3个指示灯按右循环点亮。 (4)临时刹车时,所有指示灯同时闪烁。 (5)选择电路方案,完成对确定方案的设计。计算电路元件参数与元件选择、并画出总体电路原理图,阐述基本原理。制作实际运行装置。 表1.1尾灯和汽车运行状态关系表 2. 设计原理与总体框图 根据设计的基本要求,汽车左或右转弯时 , 三个指示灯循环点亮 , 所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。由此得出在每种运行状态下,各指示灯与各给定条件 (SI 、S0 、 CP 、 Q1 、 Q0 )的关系 , 即逻辑功能表如表2.1所示 ( 表中0表示灯灭状态 ,1表示灯亮状

态 ) 。 表2.1.汽车尾灯控制逻辑功能表 开关控制 三进制计数器六个指示灯 S1 S0 Q1 Q0 D6 D5 D4 D1 D2 D3 0 0 ××0 0 0 0 0 0 0 1 0 0 0 1 1 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 1 1 0 0 0 0 1 1 0 0 0 1 0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 1 1 ××CP CP CP CP CP CP 根据表1.1可以得出原理框图,如图2.1所示。 图2.1 汽车尾灯控制电路原理图 3.单元电路设计 3.1三进制计数器 三进制计数器可由双JK触发器74LS76构成,其连接电路如图3.1所示。

汽车尾灯控制电路

电子技术课程设计任务书

电子技术课程设计任务书 2.对课程设计成果的要求〔包括图表、实物等硬件要求〕: 设计电路,安装调试或仿真,分析实验结果,并写出设计说明书,语言流畅简洁,文字不得少于3500字。要求图纸布局合理,符合工程要求,使用Protel软件绘出原理图(SCH)和印制电路板(PCB),器件选择要有计算依据。 3.主要参考文献: [1]谢自美。电子线路设计、实验、测试[M]华中理工大学,2001 [2] 彭介华. 电子技术课程设计指导[M]. 北京:高等教育出版社,1997 [3] 毕满清. 电子技术实验与课程设计[M]. 北京:机械工业出版社,1995 [4] 陈明义. 电工电子技术课程设计指导[M]. 长沙:中南大学出版社,2002 [5] 陈永甫. 新编555集成电路应用800例[M]. 北京:电子工业出版社2000 [6] . 4.课程设计工作进度计划: 序号起止日期工作内容 1 2011-1-3 布置任务,教师讲解设计方法及要求 2 2011-1-4 学生查找阅读资料,并确定方案 3 2011-1-5 学生设计小组会议,讨论方案 4 2011-1-6~11 设计、仿真实验 5 2010-1-12~13 写说明书,小组讨论 6 2010-1-14 答辩 指导教师苏泽光日期: 2010 年 12 月日

目录 引言 (1) 1 设计方案 (2) 汽车尾灯电路实际设计要求 (2) 设计原理及原理框图 (2) 2 单元电路设计 (2) 时钟脉冲电路 (2) 开关控制电路 (4) 三进制计数器 (5) 译码、显示驱动电路 (6) 3 性能测试与仿真 (7) 仿真软件的简单介绍 (7) Protel 99SE简单介绍 (7) IN Multisim10简单介绍 (8) 利用Multisim仿真与测试 (9) 原理图(SCH)和电路板(PCB) (14) 4结论 (15) 参考文献 (17) 摘要 汽车行驶时会有正常行驶、左转弯、右转弯和刹车四种情况,针对这四种情况

汽车尾灯控制器设计

— 《可编程器件》课程设计报告 课题:汽车尾灯控制器设计: 班级学号 学生姓名 专业 系别 指导老师 ~ 淮阴工学院 电子与电气工程学院 2014年11月 ,

一、设计目的 《可编程器件》课程设计是时一项重要的实践性教育环节,是学生在校期间必须接受的一项工程训练。在课程设计过程中,在教师指导下,运用工程的方法,通过一个简单课题的设计练习,可使学生通过综合的系统设计,熟悉应用系统的设计过程、设计要求、完成的工作内容和具体的设计方法,了解必须提交的各项工程文件,也达到巩固、充实和综合运用所学知识解决实际问题的目的。 通过课程设计,应能加强学生如下能力的培养: (1)) (2)独立工作能力和创造力; (3)综合运用专业知识及基础知识,解决实际工程技术问题的能力; (4)查阅图书资料、产品手册和各种工具书的能力; (5)工程绘图的能力; (6)编写技术报告和编制技术资料的能力。 二、设计要求 假设汽车尾部左右两侧各有3盏知识灯,其控制功能包括: (1)汽车正常行驶是指示灯都不亮。 (2)… (3)汽车右转弯时,右侧的一盏指示灯RD1交替闪烁,周期为2秒,其余灯熄灭。(4)汽车左转弯时,左侧的一盏指示灯LD1交替闪烁,周期为2秒,其余灯熄灭。(5)汽车刹车时,左右两侧的一盏指示灯LD2,RD2同时亮。 (6)汽车夜间行驶时,左右两侧的一盏指示灯LD3,RD3同时一直亮,供照明使用。 三、设计的具体实现 1、汽车尾灯控制器的工作原理 汽车尾灯控制器就是一个状态机的实例。当汽车正常行驶时所有指示灯都不亮;当汽车向右转弯时,汽车右侧的指示灯RD1亮;当汽车向左侧转弯时,汽车左侧的指示灯LD1亮;当汽车刹车时,汽车右侧的指示灯RD2和汽车左侧的指示灯LD2同时亮;当汽车在夜间行驶时,汽车右侧的指示灯RD3和汽车左侧的指示灯LD3同时一直亮。 通过设置系统的输入信号:系统时钟信号clk,汽车左转弯控制信号left,汽车右转弯控制信号right,刹车信号brake,夜间行驶信号night系统的输出信号:汽车左侧3盏指示灯LD1,LD2,LD3和汽车右侧3盏指示灯RD1,RD2,RD3实现以上功能。 " 系统的整体组装设计原理如图所示:

数电课程设计汽车尾灯控制电路

汽车尾灯控制电路的设计 一、设计基本要求: 假设汽车尾部左右两侧各有3个指示灯(用发光二极管模拟) 1.汽车整车运行时指示灯全灭; 2.右转弯时,右侧3个指示灯按右循环顺序点亮; 3.左转弯时左侧3个指示灯按左循环顺序点亮; 4.临时刹车时所有指示灯同时闪烁 二、设计方案: 1.汽车尾灯显示状态与汽车运行状态的关系 为了区分汽车尾灯的4种不同的显示模式,需设置2个状态控制变量。假定用开关J1和J2进行显示模式控制,可列出汽车尾灯显示状态与汽车运行状态的关系,如下表所示。 2. 在汽车行驶过程中,汽车的尾灯会根据汽车行驶的状态相应的发生状态的变化。假设汽车尾灯左右两侧各有三个指示灯,设计一个用于控制汽车尾灯的电路。 方案原理框图如下图所示 开关控制电路显示、驱动电路 译码电路 计数器 {尾灯电路 汽车尾灯控制电路原理框图 本设计采用的方案主要由开关控制电路,三进制计数器,译码、显示驱动电路组成。由于汽车左转或右转时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。 三、电路设计步骤: 1.时钟脉冲电路

由于N555定时器内部的比较器灵敏度比较高,输出驱动电流比较大,功能灵活,而且采用差分电路形式,它的振荡率受电源电压和温度的影响小,由555定时器构成的多谐振荡器频率比较稳定,不易干扰;且此电路对秒脉冲的精度要求不是很高,所以选用有555构成的多谐振荡器做为脉冲电路。时钟脉冲电路如 下图1所示: 1.时钟脉冲电路 555定时器引脚图 2. 三进制计数器 汽车左或右转弯时由于是三个指示灯循环点亮,所以用三进制计数器控制译码电路顺序输出低电平,从而控制尾灯按要求电路,由此得出在每种运行状态下,各指示灯与各给定条件的关系,即逻辑功能表如下表所示:(0表示灯灭,1表示灯亮)

汽车尾灯控制电路设计说明书

中北大学 课程设计说明书 学生姓名:学号: 学院:信息与通信工程学院 专业:光电信息科学与工程 题目:汽车尾灯控制电路设计 指导教师:职称: 指导教师:职称: 201X年 X月X日

中北大学 课程设计任务书201X/201X 学年第一学期 学院:信息与通信工程学院专业:光电信息科学与工程学生姓名:学号: 课程设计题目:汽车尾灯控制电路设计起迄日期:X月X日~X月X日课程设计地点:中北大学 指导教师: 学科管理部主任: 下达任务书日期: 201X年X月X日

1.设计目的: 本课程设计主要针对模拟电子技术和数字电子技术课程要求,培养学生在查阅资料的基础上,进行实用电路设计、计算、仿真、调试等多个环节的综合能力,同时培养学生用课程中所学的理论独立地解决实际问题的能力。另外还培养学生用专业的、简洁的文字,清晰的图表来表达自己设计思想的能力。 2.设计内容和要求(包括原始数据、技术参数、条件、设计要求等): (假设汽车尾部左右各有3只指示灯,汽车正常运行时全部熄灭;右转时右侧3只灯依次按右循环点亮;左转时左侧3只灯依次按左循环点亮;刹车时所有灯同时闪烁。)(1)掌握车灯右循环电路的设计、仿真与调试; (2)掌握车灯左循环电路的设计、仿真与调试; (3)掌握延时电路的设计、仿真与调试,车灯循环点亮和闪烁时,点亮和熄灭时间都为2秒,精度大于10%; (4)掌握状态切换电路的设计、仿真与调试; (5)掌握方案设计与论证; (6)掌握用相关软件进行电路图设计、仿真,以及对仿真结果的分析、总结。 3.设计工作任务及工作量的要求〔包括课程设计计算说明书(论文)、图纸、实物样品等〕: (1)提供核心器件的工作原理与应用介绍; (2)提供用Protel99/DXP设计的电路原理图,印刷板电路图选做; (3)提供用Multisim、MaxPlus、Proteus等其他软件对电路的仿真结果与分析; (4)提供符合规定要求的课程设计说明书,图、表清晰; (5)提供参考文献不少于三篇,且必须是相关的参考文献。

汽车尾灯课程设计报告

课程设计报告 课程名称:电子技术课程设计 设计题目:汽车尾灯控制器 专业:电气工程及其自动化 班级: 2009 学号 学生XX:李博 时间:2012 年 2月 27 日~3月 2 日 ―――――――以下指导教师填写―――――分项成绩:出勤成品答辩及考核 总成绩:总分成绩 指导教师:

课程设计报告要求和成绩评定 1报告基本内容 前言,目录,任务书,正文,参考文献。 2 书写用纸 A4复印纸。 3 书写要求 主要部分手工双面或单面书写(计算机绘图等指定内容可打印),字迹清楚,每页20行左右,每行30字左右,排列整齐;页码居中写在页面下方;纸面上下左右4侧边距均为2厘米。 前言和目录合写作为第一页;参考文献接正文书写,不另起页。 公式单占一行居中书写;插图要有图号和图题,图号和图题书写在插图下方;表格要有表号和表题,表号和表题在表格上方书写;物理量单位和符号、参考文献引用和书写以及图纸绘制要符合有关标准规定;有关细节可参考我院《毕业设计成品规X》。 4 装订 装订顺序:封面,前言和目录,任务书,正文及参考文献,图纸,封底;左边为装订边,三钉装订,中间钉反向装订。 5 成绩评定 课程设计成绩由出勤(10分)、报告书写规X性及成品[注]质量(30分)、答辩及考核(60分)三部分成绩合成后折合为优秀(90-100分)、良好(80-89分)、中(70-79分)、及格(60-69分)或不及格(60分以下)。 注:成品含义由课程设计任务书规定,除课程设计报告外,还可以包括图纸、计算机程序、制作品、实验或测试方案等。

前言 在当今社会中,数字时代已经成为一种现实,并且时刻影响着人们的日常生活,作为数字化的基础——数字电子电路,无疑是至关重要的。数字电路课程设计便是本课程的一种很好的实践,更是加深电子技术理论理解的重要途径,同时有助于培养我们严谨,探索的科学精神。 “汽车尾灯控制电路”作为电子技术基础课程的一个实践,利用基本的芯片:双向移位寄存器74LS194,二输入与非门74LS00、四输入与非门74LS20、六反相器74LS04、3-8译码器,555定时器及电阻电容进行搭建。综合数字电路和模拟电路的知识,提升了我们理实际解决问题的能力,有助于增强我们将理论转为实际的意识,是一种很好的锻炼和学习方式。 在实际的设计过程中得到了尚志刚,苏士美等老师的鼎力相助,谢谢他们的无私的指导,“汽车尾灯控制电路”才得以顺利完成。再次祝他们工作顺利,万事如意。 由于时间紧迫和水平有限,本课程设计报告还存在瑕疵,恳请老师提出指正意见。 作者:李博 2012年3月2日

汽车尾灯控制器设计

齐鲁工业大学课程设计专用纸成绩 课程名称数字逻辑指导教师 院(系)信息学院专业班级 学生姓名仅作参考学号不谢设计日期 2014.7.2 课程设计题目汽车尾灯控制器设计 一、课程设计目的与任务 课程设计的目的:通过课程设计让学生进一步熟悉常用电子器件的类型和特性,并掌握合理选用的原则;提高学生的综合运用所学的理论知识,独立分析和解决问题的能力;让学生初步掌握对电子线路安装与调试等。 设计任务:设计一个汽车尾灯显示控制,实现对汽车尾灯状态的控制。 二、课程设计内容 1本设计题目的主要内容 本设计主要是用中、小规模集成电路设计一个汽车尾灯显示控制。在汽车尾部左右两侧各有3个指示灯(假定用发光二极管模拟),根据汽车运行的状况,指示灯需具有四种不同的状态:①汽车正向行驶时,左右两侧的指示灯处于熄灭状态。②汽车向右转弯行驶时,右侧的三个指示灯按循环顺序点亮③汽车向左转弯行驶时,左侧的三个指示灯按循环顺序点亮④汽车临时刹车时,左右两侧指示灯处于同时闪烁状态。 使用Multisim 2000进行仿真设计。 汽车尾灯显示控制的构成: (1)模式控制电路 (2)三进制计数器 (3)译码与显示驱动电路 (4)尾灯状态显示电路 2基本要求 (1)要求电路简单可靠,仿真结果基本正确。 (2)满足基本的设计要求,基本功能能够实现。 (3)提交课程设计报告。

3设计思想与总体构架 为了区分汽车尾灯的4种不同的显示模式,需设置2个状态控制变量。 假定用开关K1和K0进行显示模式控制,可列出汽车尾灯显示状态与汽车运行状态的关系,如表所示。 开关控制汽车运行状 态6个发光二极管 K1K0D1 D2 D3D4 D5 D6 11正常运行灯灭灯灭 10右转弯 按D1、D2、D3顺 序循环点亮 灯灭 01左转弯灯灭 按D4、D5、D6顺序 循环点亮 00临时刹车所有尾灯同时按cp闪烁 该电路主要有三方面的要求,一时脉冲,二是汽车的行驶状态要与汽车尾灯的显示要对应,三是汽车尾灯的循环变亮。脉冲使用555定时器构成的多谐振荡器,通过译码电路和开关控制电路实现汽车尾灯与汽车行驶状态的对应,使用3进制计数器实现汽车尾灯的循环。 总体框架:

数电课程设计《汽车尾灯控制系统》

课程设计报告 设计题目:汽车尾灯控制系统班级:计算机1206班 学号: 2012XXX 姓名: XXX 指导教师:马学文 设计时间: 2014年8月

摘要 在现代飞速发展的现代化社会背景下,汽车这一高科技产物越来越多地被人们使用,但也由此造成了一系列的问题,比如,由于汽车的突然转向所引发的车祸常出现。如果汽车转弯时能够通过尾灯状态的变化来提示司机,行人汽车转弯,就可减少车祸发生。因此,汽车尾灯就起到了一种信号、警示、标志的作用,也是司机在行车途中必须注意的。本次实验报告是关于取车尾灯控制系统的设计,根据汽车尾灯显示状态与汽车运行状态的关系,分析并设计电路。整个电路有三进制计数器、译码与显示驱动电路、尾灯状态显示电路、开关控制电路4个部分组成。分析使能控制信号与公模控制变量与时钟脉冲的关系,555定时器、3线—8线译码器实现了根据汽车运行状态指示显示4种不同的状态模式。本次报告详细讲解了该系统的设计思路及其具体的实现过程。 关键词: 计数器、译码器、定时器、时钟脉冲

目录 摘要 2 第1章概述4第2章课程设计任务及要求4 2.1 设计任务 4 2.2 设计要求 4 第3章系统设计4 3.1方案论证 4 3.2 系统设计 5 3.2.1 结构框图及说明 5 3.2.2 系统原理图及工作原理 5 3.3 单元电路设计 6 3.3.1单元电路工作原理 6 3.3.2元件参数选择10 第4章软件仿真11 4.1 仿真电路图11 4.2 仿真过程13 4.2 仿真结果15 第5章安装调试17 5.2 安装调试过程17 5.3 故障分析17 第6章结论18第7章使用仪器设备清单19参考文献19 收获、体会和建议20

汽车尾灯控制电路

课程设计说明书 课程设计名称:电子课程设计 课程设计题目:汽车尾灯控制电路 学院名称:信息工程学院 专业:计算机科学与技术班级: 学号:姓名: 评分:教师: 20 10 年 9 月 15 日 摘要 随着科学技术的全方面发展,汽车制造工艺得到了长足的进步,使得汽车

已经成为现代人们主要的交通工具。人们了解到他们便捷、快速之余,也同时意识到汽车潜在的安全隐患,所以对具有汽车行驶状况提示作用的汽车尾灯进行研究是非常必要的。 本次课题设计的目的:设计汽车尾灯控制电路,由两个开关控制实现汽车正常运行、右转弯、左转弯和刹车时尾灯的情况。尾灯分别由左右各三个灯泡组成,实验中采用发光二极管显示。这样可以使得尾灯更清楚明显更加人性化。本次设计是关于汽车尾灯控制电路的设计,根据汽车尾灯显示状态与汽车运行状态的关系,分析并设计电路。整个电路由控制电路,三进制计数器,译码与显示驱动电路,尾灯状态显示4部分组成。分析了使能控制信号与模式控制变量、时钟脉冲的关系,运用J—K触发器、3—8译码器等实现了根据汽车的运行状态,指示灯显示4种不同的模式。本文详细的介绍了电路的设计思路及其实现过程,包括了整个设计流程。 通过上述电路组成使得汽车正常行驶时尾灯全灭,左转弯时左边三个指示灯顺序点亮,右转弯时右边三个指示灯顺序点亮,紧急刹车时左右两边指示灯同时闪烁,从而完成整个汽车尾灯控制电路的设计。 经过一系列的分析、仿真模拟等准备工作,本次课题设计基本都实现了全部的设计要求。 关键字:汽车尾灯、循环闪烁、译码、脉冲源 目录 前言 (4)

第一章设计内容及要求 (5) 第二章系统设计方案选择 2.1 方案一 (6) 2.2 方案二 (7) 第三章系统组成及工作原理 3.1 系统组成 (8) 3.2 工作原理 (9) 第四章单元电路设计、参数计算、器件选择 4.1 CP脉冲电路的设计......................................`10 4.2 三进制计数器电路设计. (11) 4.3 开关控制电路设计 (12) 4.4译码及显示驱动电路 (13) 第五章实验调试及测试结果与分析 (15) 第六章实验总结及收获 (16) 参考文献 (17) 附录一 (18) 附录二 (21) 附录三 (22) 前言 汽车技术的发展趋势是电子化、智能化、信息化和集成化当前国际汽车

汽车尾灯控制电路

汽车尾灯控制电路 设计者:

汽车尾灯控制电路 内容摘要 本课题设计一个汽车尾灯的控制电路。 汽车尾部左右两侧各有3个指示灯。当接通左转、右转、刹车和检查时,指示灯按照指定要求闪烁。 一、设计内容及要求 本课题设计一个汽车尾灯的控制电路。该电路由四个电键控制,分别对应着左转、右转、刹车和检查功能。 当接通左转或右转电键时,左侧或右侧的3个汽车尾灯按照左循环或右循环的顺序依次点亮。 当接通刹车电键时,汽车所有的尾灯同时闪烁。 当接通检查电键时,汽车所有的尾灯点亮。 二、电路的工作原理 经过以上所述的设计内容及要求的分析,可以将电路分为以下几部分:首先,通过555定时器产生频率为1Hz的脉冲信号,该脉冲信号用于提供给D触发器和刹车时的输入信号。 3个D触发器用于产生三端输出的001、010、100的循环信号,此信号提供左转、右转的原始信号。 左转、右转的原始信号通过6个与门以及电键提供的高低电位信号,将原始信号分别输出到左、右的3个汽车尾灯上。这部分电路起到信号分拣的作用。 分拣之后的信号通过或门,实现与刹车、检查电键信号的之间选择。最终得到的信号即可输出到发光二极管上,实现所需功能。 三、系统方案的选择 在设计本电路时,一共考虑过三种方案。这三种方案的不同点在于产

生001、010、100三种信号的方法不同。下面简单的介绍一下这三种方案: 第一种方案:该方案通过74LS160计数器构成能产生01、10、11三种状态循环的信号,然后再通过逻辑电路将其转换成所需的001、010、100三种左转或右转的信号。0 设:74LS160输出的两位信号从高位到低位分别是B A ,输出信号为Z Y X 。 则 经过 ) ()(AB B Z AB A Y AB X === 的逻辑运算便可实现所需的功能。 电路图如下: 但是该方案在模拟时发现,由于计数器的竞争冒险的存在,使得尾灯在闪烁时总会出现不自然的中间过程。 第二种方案:通过74LS194移位寄存器来产生001、010、100的三种

汽车尾灯控制器设计完整版

1、Proteus简介 1.1概述 Proteus 软件是英国Labcenter electronics 公司出版的EDA工具软件(该软 件中国总代理为广州风标电子技术有限公司)。它不仅具有其它EDA工具软件的仿 真功能,还能仿真单片机及外围器件。它是目前最好的仿真单片机及外围器件的工 具。虽然目前国内推广刚起步,但已受到单片机爱好者、从事单片机教学的教师、致力于单片机开发应用的科技工作者的青睐。Proteus是世界上著名的EDA工具(仿 真软件),从原理图布图、代码调试到单片机与外围电路协同仿真,一键切换到PC B设计,真正实现了从概念到产品的完整设计。是目前世界上唯一将电路仿真软件、 PCB设计软件和虚拟模型仿真软件三合一的设计平台,其处理器模型支持8051、H C11、PIC10/12/16/18/24/30/DsPIC33 、AVR ARM 8086 和MSP430等,2010 年即 将增加Cortex和DSP系列处理器,并持续增加其他系列处理器模型。在编译方面, 它也支持IAR、Keil和MPLAB等多种编译器。 1.2具有四大功能模块: 1.2.1智能原理图设计(ISIS) 丰富的器件库:超过27000种元器件,可方便地创建新元件; 智能的器件搜索:通过模糊搜索可以快速定位所需要的器件; 智能化的连线功能:自动连线功能使连接导线简单快捷,大大缩短绘图时间; 支持总线结构:使用总线器件和总线布线使电路设计简明清晰; 可输出高质量图纸:通过个性化设置,可以生成印刷质量的BMP图纸,可以方便地供WOR D POWERPOIN等多种文档使用。 122完善的电路仿真功能(Prospice ) Prospice混合仿真:基于工业标准SPICE3F5,实现数字/模拟电路的混合仿直. 超过27000个仿真器件:可以通过内部原型或使用厂家的SPICE文件自行设

相关主题
相关文档 最新文档