当前位置:文档之家› 数字电路教案

数字电路教案

数字电路教案
数字电路教案

重庆市工业高级技工学校

重庆市工业技师学院

教案

教师姓名:周勇

课程名称:数字电路

授课班级:①13机电一体化

2014年2月28日

教学过程:

一、基础分析

要教好这门课程,就必需要让学生产生浓厚的学习兴趣,要达到这一目的光说说是不行的,要让学生知道在生活中的应用,相信数字电路学起来简单,并提供一些切实可行的学习方法,适当提出一些合理化要求。并就该课程的教法说与同学听,听取学生的意见,争取能用学生喜欢的方式去教育学生,为了学生的一切出发,达到教好这门课程的目的,让学生学有所获。二、学科分析

该学科他可以独成一体,学习起来与以前的专业知识联系不大,与数学关系不密切。应用相当广泛。在我们生活的方方面面都有应用, 20世纪90年代开始,整个社会进入数字化、信息化、知识化时代,数字技术与国民经济和社会生活的关系日益密切。计算机、计算机网络、通信、电视及音像传媒、自动控制、医疗、测量等无一不纳入数字技术并获得较大技术进步。例:Internet 、程控电话、移动通信、可视电话、会议电视、数字电视、数字相机、VCD 、DVD、交通灯、广告牌等等。要求有一定的想象力,要有严谨的思维习惯。要求同学们要建立起信心,做好准备来学好该科目。

三、数字电路的特点及分析方法

数字通信系统:抗干扰能力强,保密性好,容量大;(例如手机)

数字化测量:精度高,功能完备,具有数控测试功能:(例如数字示波器)

数字设备:精度高、功能完备、智能化。(扩展讲数字电视和数码照相机)

计算机:最具代表性的数字系统,具有极强的信息处理和控制能力。

要从生活应用出发来讲数字电路的应用,为了发掘学生的学习积极性,让学生例兴数字电路在生活产品中的应用。

作用:提高学生的学习兴趣,提升学生的欣赏品位,扩宽学生视野。

四、数字电路学习资料来源(以提高学生的学习兴趣,扩宽知识面)

1.《电子技术基础》不同版本教材(例如康华光主编)

2.《555集成电路应用手册>

3.《电子报》

五、小结、布置作业

该堂课的主要目的是培养学生的学习积极性,并指导学生如何学好《数字电路》,针对学生的实际情况,做出分析,以利于该科目能够让学生真正学到些东西。并以二极管的开关特性为重点,以三极管的开关特性为辅来让学生初步认识了数字电路。知识宜浅不宜深,以培养学生的学习积极性。

布置作业。

1.你用过哪些数字电路产品,请列出3到10个较为典型的例子。并就其中的一二个产品说明他的功能及优点和缺点。

2.你认为的数字电路该如何学?

六、答疑

教学过程:

第一节课

一、复习旧课

问题:1. 数字的特点

2. 数字信号与模拟信号的比较

二、针对新课内容提出问题

1. 什么是二、十、八、十六进制数?

2. 怎么将二、八、十六进制数转换为十进制数?

三、自学、讨论阶段

在此阶段,教师要注意观察学生学习情况,对问题可以适当引导,但是不能透露答案,对违反课堂纪律的同学(玩手机、看报纸杂志、睡觉、聊天等)要重点提醒,维持好课堂纪律,并注意时间的控制。

四、提问、评定、讲解阶段

十进制是日常生活中最常使用的进位计数制。在十进制数中,每一位有0~9十个数码,所以

计数的基数是10。超过9的数必须用多位数表示,其中低位和相邻高位之间的进位关系是“逢十进一”。

二进制数的进位规则是“逢二进一”,其进位基数R=2, 每位数码的取值只能是0或1,每位的权是2的幂。

八进制数的进位规则是“逢八进一”,其基数R =8 十六进制数的特点是:

① 采用的 16 个数码为0、 1、 2、 …、 9、 A 、 B 、 C 、 D 、 E 、 F 。 符号A~F 分别代表十进制数的10~15

② 进位规则是“逢十六进一”,基数R =16,每位的权是16的幂。 五、答疑

就本次课的内容个别答疑、辅导。

第二节课

六、就新课内容提出问题

1. 怎么将十进制数转换为二、八、十六进制数?

2. 常用的码制有哪些?有什么特点? 七、自学、讨论阶段 八、提问、评定、讲解阶段

1、二—

二进制数转换成十进制数时,只要将二进制数按权展开,然后将各项数值按十进制数相加,便可得到等值的十进制数。例如: 同理,若将任意进制数转换为十进制数,只需将数(N)R 写成按权展开的多项式表示式,并按十进制规则进行运算, 便可求得相应的十进制数(N)10。

2、十—二转换

① 整数转换——除2取余法。 ② 小数转换——乘2取整法。

3、二进制数与八进制数、十六进制数之间的相互转换

八进制数和十六进制数的基数分别为8=23,16=24, 所以三位二进制数恰好相当一位八进制数,四位二进制数相当一位十六进制数,它们之间的相互转换是很方便的。 九、小结、布置作业

本次课学习了二、八、十、十六进制之间的相互转换,这部分知识同学们必须掌握,码制的知识重点掌握8421BCD 码。

布置作业:教材33页第1题和第2题。 十、答疑

10211242)75.22(2121212121)11.10110(=?+?+?+?+?=--

第一节课

一、复习旧课

问题:1. 怎么将二、八、十六进制数转换为十进制数?

2. 8421BCD码的规律?

二、针对新课内容提出问题

1. 基本的逻辑关系有种?

2. 与逻辑关系的逻辑表式与真值表的联系?

三、自学、讨论阶段

在此阶段,教师要注意观察学生学习情况,对问题可以适当引导,但是不能透露答案,对违反课堂纪律的同学(玩手机、看报纸杂志、睡觉、聊天等)要重点提醒,维持好课堂纪律,并注意时间的控制。

四、提问、评定、讲解阶段

1、与逻辑关系

当一件事情的几个条件全部具备之后,这件事情才能发生,否则不发生。这样的因果关系称为与逻辑关系。

举例说明:以开锁为例和书上的开关串联为例。 让学生联系生活说明有哪些常见的与逻辑。(讨论) 与门电路

电路图 电路如右图所示

8-9 二极管与门电路

2、真值表

与门真值表

3、逻辑符号

对于与门电路要重点讲解,但对于其他门电路在相同内容和相似的分析过程中不再重复。以留给学生一定的思考空间,也为学生的个性化发展提供的前提。 4、逻辑函数式

Y =A ·B (中间的点乘也可以去掉)

五、答疑

就本次课的内容个别答疑、辅导。

第二节课

六、就新课内容提出问题

1.或逻辑关系的逻辑表式与真值表的联系?

2. 非逻辑关系的逻辑表式与真值表的联系?

七、自学、讨论阶段

八、提问、评定、讲解阶段

1、或逻辑关系

在决定一件事的各种条件中,到少具备一个条件,这件事就会发生。这样的因果关系称为或逻辑关系。

举例说明:以开锁为例和书上的开关并联为例。

让学生联系生活说明有哪些常见的或逻辑。(讨论)

2、或门电路

电路如下图所示

3、真值表

或逻辑关系

3、逻辑符号

4、逻辑函数式

Y=A+B

九、小结、布置作业

该堂课为了让学生能够理解与门、或门、非门的作用与符号,要从学生能够理解的入手,让学生能够用自己的方式去学好这三个门电路的相关知识,为数字电路的学习打下基础。教学中要广泛发挥学生的主动性,让学生参与到教学的过程中去,用讨论的方式加深学生的印象。

布置作业,并提出相应要求。

十、答疑

第一节课

一、复习旧课

问题:1. 或逻辑关系的逻辑表式与真值表的联系?

2. 非逻辑关系的逻辑表式与真值表的联系?

二、针对新课内容提出问题

1.逻辑函数的表示方法有几种,各有什么特点?

2.怎么列真值表。

三、自学、讨论阶段

在此阶段,教师要注意观察学生学习情况,对问题可以适当引导,但是不能透露答案,对违反课堂纪律的同学(玩手机、看报纸杂志、睡觉、聊天等)要重点提醒,维持好课堂纪律,并注意时间的控制。

四、提问、评定、讲解阶段

1、真值表能够直接看出逻辑函数和变量之间的关系,是非常重要的学习工具。

2、表达式列写简单,可以用公式、定理进行运算和变换,因而最为常用。

五、答疑

就本次课的内容个别答疑、辅导。

第二节课

六、就新课内容提出问题

1. 画出逻辑图中常用的逻辑符号。

2. 四种表示方法之间如何相互转换?

七、自学、讨论阶段

八、提问、评定、讲解阶段

1.逻辑图的形式比较接近工程实际。在制作数字电路时,首先要画出逻辑图,再把逻辑图变成实际电路。

2. 根据波形图可以找出输出变量变化的规律。

九、小结、布置作业

逻辑函数的表示方法有四种,分别是表达式、真值表、逻辑图和波形图,表达式最常用,真值表能帮助我们理解输入与输出变量之间的关系,逻辑图可变成实际电路。

布置作业:书34页16、17、18题。

十、答疑

教学过程:

第一节课

一、复习旧课

问题:1. 或逻辑关系的逻辑表式与真值表的联系?

2. 非逻辑关系的逻辑表式与真值表的联系?

二、针对新课内容提出问题

1. 代数化简的常用公式有哪些?

2. 最简与或表达式必须满足哪两个条件?

三、自学、讨论阶段

在此阶段,教师要注意观察学生学习情况,对问题可以适当引导,但是不能透露答案,对违反课堂纪律的同学(玩手机、看报纸杂志、睡觉、聊天等)要重点提醒,维持好课堂纪律,并注意时间的控制。

四、提问、评定、讲解阶段

1. 常用的化简公式有:并项法、吸收法、消去法、配项法。

2.最简与或表达式必须满足的条件:第一,与项的个数最少,第二,每个与项中变量的个数最少。同学们想一想这是为什么?

五、答疑

就本次课的内容个别答疑、辅导。

第二节课

六、就新课内容提出问题

1. 逻辑函数表达式变换的意义是什么?

2. 总结与或表达式变换为其他表达式的方法?

七、自学、讨论阶段

八、提问、评定、讲解阶段

1. 逻辑函数表达式变换的意义在于我们通过变换,可以使用不同的器件实现相同的逻辑功能。

2. 表达式变换

逻辑函数式有五种表达式:与或、或与、与非与非、或非或非、与或非。

例如

=与或型

F+

AB

C

A

F?

=与非与非型

A

AB

C

F+

A

=或与型

+

(C

B

)(

)

A

+

+

=或非或非型

F+

A

C

A

B

F+

=与或非型

A

C

B

A

九、小结、布置作业

将与或逻辑式转换为与非与非型,方法是对与或式二次求反。将与或式转换为或与型的基本方法是:利用对偶规则求出与或式的对偶式,将对偶式展开,化简;最后将对偶式进行对偶变换,即可得到或与型逻辑式。与或型转换为或非或非型基本方法是,将与或式先变换为最简或与式,对或与式进行二次求反。与或型转换为与或非型基本方法是将或非或非逻辑式的第二层反号用摩根定理变换,即可得到与或非型逻辑式。

布置作业:书35页18、20题

十、答疑

教学过程:

第一节课

一、复习旧课

问题:1. 代数化简的常用公式有哪些?

2. 最简与或表达式必须满足哪两个条件?

二、针对新课内容提出问题

1. 怎么得到逻辑函数的最小项?

2. 画卡诺图应该注意的问题?

三、自学、讨论阶段

在此阶段,教师要注意观察学生学习情况,对问题可以适当引导,但是不能透露答案,对违反课堂纪律的同学(玩手机、看报纸杂志、睡觉、聊天等)要重点提醒,维持好课堂纪律,并注意时间的控制。

四、提问、评定、讲解阶段

1. 空白卡诺图。

重点在于让学生能够掌握空白卡诺图的画法和与真值表的关系。对每一个空白方格如何编号。

其一用十进制数表示行的序号,其二用行和列的二进制数标号。

2. 如何填卡诺图。(强调与真值表的关系)

就是说在已知真值表的情况下如何来填表。

3.由卡诺图写出逻辑函数式(让学生了解其过程,由于在实际中用得不多,这里不作重点内容讲解)。只要点明卡诺图中出是表达的逻辑函数式。

4.由逻辑函数式画卡诺图

将逻辑式化为最小项表达式;在空白格卡诺图上,在最小项所对应的方格中填1,其余方格填0,即可。

五、答疑

就本次课的内容个别答疑、辅导。

第二节课

六、就新课内容提出问题

1. 用卡诺图化简的依据是什么?

2. 画包围圈时应遵循的原则?

3. 用卡诺图化简的步骤?

七、自学、讨论阶段

八、提问、评定、讲解阶段

1. 化简依据

由于学生对卡诺图本身都还不是十分清楚,不能讲太多的道理,只需让学生掌握卡诺图的应用和意思,对于卡诺图化简的依据则就不必让学生都去掌握。需要特加指出,卡诺图具有“滚卷相邻性”,若将卡诺图当作一张纸一样。

2. 合并最小项的规律

将取值为“1“的相邻小方格圈成矩形或方形,相邻小方格包括最上行与最下行及最左列与最右列同行或同行两端的两个小方格。所圈取值为”1“的相邻小方格的个数应为2n(n=0,1,2,3,…..),即1,2,4,8,……,不允许3,6,10,12等。

3. 圈方程组时的注意事项

圈的个数应最少,圈内小方格个数应尽可能多。

每圈一个新的圈时,必须包含至少一个在已圈过的圈中未出现过的最小项,否则重复而得不到最简式。

有时也可采用圈0的方法来化简逻辑函数。但是函数必须取“反”。

九、小结、布置作业

要求学生理解掌握的东西较多,所以在讲解中要注意学生的实际掌握情况,要针对学生的情况进行调整,在浅显的讲明化简内容后要以练习为主,让学生在练习中去理解,去建立自己的学习方法。为了调动学生的学习积极性,在授课中要讲明此类电路的实际应用。

布置作业:书35页23题

十、答疑

教学过程:

第一节课

一、复习旧课

问题:1. 画包围圈时应遵循的原则?

2. 用卡诺图化简的步骤?

二、针对新课内容提出问题

1. TTL 集成逻辑门的主要参数有哪些?

2. TTL 集成逻辑门有哪些特点?

三、自学、讨论阶段

在此阶段,教师要注意观察学生学习情况,对问题可以适当引导,但是不能透露答案,对违反课堂纪律的同学(玩手机、看报纸杂志、睡觉、聊天等)要重点提醒,维持好课堂纪律,并注意时间的控制。

四、提问、评定、讲解阶段

ROFF 称关门电阻。RI < ROFF 时,相应输入端相当于输入低电平。对STTL 系列,ROFF

≈ 700 Ω。RON 称开门电阻。RI > RON 时,相应输入端相当于输入高电平。对STTL 系列,RON ≈ 2.1 kΩ。

输入信号上叠加的噪声电压只要不超过允许值,就不会影响电路的正常逻辑功能,这个允许值称为噪声容限。噪声容限越大,抗干扰能力越强。

五、答疑

就本次课的内容个别答疑、辅导。

第二节课

六、就新课内容提出问题

1. 各系列TTL 集成门的比较与选用?

2. TTL 集成逻辑门的使用要点?

七、自学、讨论阶段

八、提问、评定、讲解阶段

LSTTL 系列综合性能优越、品种多、价格便宜;ALSTTL 系列性能优于LSTTL,但品种少、价格较高,因此实用中多选用LSTTL。

集成门的选用要点:(1)实际使用中的最高工作频率fm 应不大于逻辑门最高工作频率fmax 的一半。

(2)不同系列TTL 中,器件型号后面几位数字相同时,通常逻辑功能、外型尺寸、外引线排列都相同。但工作速度(平均传输延迟时间tpd )和平均功耗不同。实际使用时,高速门电路可以替换低速的;反之则不行。

TTL 集成逻辑门的使用要点:(1)电源电压用+ 5 V,74 系列应满足5 V ± 5% 。

(2)输出端的连接:普通TTL 门输出端不允许直接并联使用。三态输出门的输出端可并联使用,但同一时刻只能有一个门工作,其他门输出处于高阻状态。

九、小结、布置作业

若对功耗和抗干扰能力要求一般,可选用TTL 电路。目前多用74LS 系列,它的功耗较小,工作频率一般可用至20 MHz;如工作频率较高,可选用CT74ALS 系列,其工作频率一般可至50 MHz。

布置作业:书55页2、3题

十、答疑

教学过程:

第一节课

一、复习旧课

问题:1. TTL集成门的主要参数有哪些?

2. TTL集成门的使用要点?

二、针对新课内容提出问题

1. 什么是OC门?

2. OC门负载电阻RL的大小如何计算?

三、自学、讨论阶段

在此阶段,教师要注意观察学生学习情况,对问题可以适当引导,但是不能透露答案,对违反课堂纪律的同学(玩手机、看报纸杂志、睡觉、聊天等)要重点提醒,维持好课堂纪律,并注意时间的控制。

四、提问、评定、讲解阶段

OC门的输出端可以直接并接,只要有一个门的输出为低电平,则F输出为低,只有所有门的输出为高电平,F输出才为高,因此相当在输出端实现了线与的逻辑功能。

IH

OH OH CC

L mI nI V V R +-=min max

当所有OC 门都为截止状态(输出高电平)时,流过R L 的电流I RL 如下图所示。OC 门输出端截止时的漏电流和负载门的高电平输入电流同时流过R L ,并在R L 上产生压降,所以为保证输出高电平时,不低于输出高电平的最小值V OHmin ,R L 不能取得过大。

min max )(O H L IH O H CC V R mI nI V ≥+-

式中的n 产并联OC 门的数目,m 是负载门电路高电平输入电流的数目。 五、答疑

就本次课的内容个别答疑、辅导。

第二节课

六、就新课内容提出问题

1. 三态门有哪三种状态?

2. 三态门的应用有哪些? 七、自学、讨论阶段 八、提问、评定、讲解阶段

三态:1态、0态、高阻态。

工作原理:EN 为使能端,高电平有效。EN 为高电平时,P=1,EN 为低电平时,则P=0,D 导通,T 4截止,V B1=0.9V ,则T 2、T 5截止;从而输出高阻态。

应用:实现数据的双向传输; 接成总线结构。接成总线方式时,在n 个EN 端中,每次最多只能有一个有效。 九、小结、布置作业

OC 门能够实现线与功能,但是线与时同学们必须要会计算负载电阻的阻值,三态门能够实现数据的双向传输,应用广泛。

布置作业:书55页18、20题 十、答疑

《数字电子技术基础》 阎石编著_数字电路教案

数字电路教案 本课程理论课学时数为70,实验24学时。各章学时分配见下表:

第一章逻辑代数基础 【本周学时分配】 本周5学时。周二1~2节,周四3~5节。 【教学目的与基本要求】 1、掌握二进制数、二—十进制数(主要是8421 BCD码) 2、熟练掌握逻辑代数的若干基本公式和常用公式。 3、熟练掌握逻辑函数的几种表达形式。 【教学重点与教学难点】 本周教学重点: 1、绪论:重点讲述数字电路的基本特点、应用状况和课程主要内容。 2、逻辑代数的基本运算:重点讲述各种运算的运算规则、符号和表达式。 3、逻辑代数的基本公式和常用公式:重点讲述逻辑代数的基本公式与普通代数公式的区别,常用公式的应用背景。 4、逻辑函数的表示方法:重点讲述各种表示方法的特点和相互转换方法。 本周教学难点: 反演定理和对偶定理:注意两者之间的区别、应用背景和变换时应注意的问题。【教学内容与时间安排】 一、绪论(约0.5学时) 1、电子电路的分类。 2、数字电路的基本特点。 3、数字电路的基本应用。 4、本课程的主要内容; 5、本课程的学习方法和对学生的基本要求。 二、数制与码制(约1.5学时)(若前置课程已学,可作简单复习0.5学时) 1、几种不同进制(二、八、十、十六进制)。 2、几种不同进制相互转换。 3、码制(BCD码)。 三、逻辑代数 1、基本逻辑运算和复合逻辑运算:与、或、非运算是逻辑代数的基本运算;还可以形成其他复合运算,常用的是与非、或非、与或非、异或、同或运算。(约0.5学时) 2、常用公式(18个)(约0.5学时) 3、基本定理(代入定理、反演定理、对偶定理)(约0.5学时) 4、逻辑函数的概念及表示方法(约0.5学时) 5、逻辑函数各种表示方法间的转换:常用的转换包括:函数式←→真值表;函数式←→逻辑图(约1学时)

数字电子技术基础教案

数字电子技术基础教案 太原工业学院 第1章逻辑代数基础

目的与要求: 熟练掌握基本逻辑运算和几种常用复合导出逻辑运算;熟练运用真值表、逻辑式、逻辑图来表示逻辑函数。 重点与难点: 重点:三种基本逻辑运算和几种导出逻辑运算;真值表、逻辑式、逻辑图之间的相互转换。难点:将真值表转换为逻辑式。 所谓数字电路,就是用0和1数字编码来表示和传输信息的系统,即信息数字化(时代)。 数字电路与传统的模拟电路比较,其突出的优点是:(如数字通 信系统)抗干扰能力强、保密性好、计算机自动控制、(数字测量 仪表)精度高、智能化、(集成电路)可靠性高、体积小等。 数字电子技术基础,是电子信息类各专业的主要技术基础课。 1、1概述 一、模拟量(时间、温度、压力、速度、流量):时间上和幅值上 连续变化的物理量; 模拟信号(正弦交流信号):表示模拟量的信号。 数字量:时间上和幅值上都不连续变化的物理量(工厂中生产的产品个数); 数字信号、数字电路。 数字电路中的数字信号 采用0、1两种数值(便于实现)(位bit 、拍) 0、1表示方法:电位型:电位高低(不归零型数字信号) 脉冲型:有无脉冲(归零型数字信号) 二、数制及其转换 由0、1数值引入二进制及其相关问题。 常用数制:举例:十进制、二进制(双)、七进制(星期)、 十二进制(打)等。 特点:基数:数制中所用数码的个数; 位权。 1. 十进制数 基数:10 位权:n 10 表达式:10)(N =(P2 式1-1)=i n m i i a 101 ?∑--= (1-1) 推广到任意进制R : 基数:R 位权:n R

表达式:R N )(=(P2 式1-2)=i n m i i R a ?∑--=1 (1-2) 2. 二进制数 表达式:2)(N =(P3 式1-3)=i n m i i a 21 ?∑--= (1-3) 位权:以K 为单位;按二进制思维(如1000个苹果问题); 例如:(1101.01)2= 0-16对应的二进制数 特点:信息密度低,引入八、十六进制。 3. 八进制、十六进制 八进制: 基数:8(0-7) 位权:n 8 表达式:8)(N == i n m i i a 81?∑--= ( 1-4) 十六进制: 基数:16(0-9,A ,B ,C ,D ,E ,F ) 位权:n 16 表达式:16)(N ==i n m i i a 161?∑--= 特点:和二进制有简单对应关系;信息密度高,便于书写。 4. 不同进制数的转换 ⑴ R →十:按位权展开,再按十进制运算规则运算。 例1-1、1-2、1-3(P4) ⑵ 十→R :分两步 整数部分:除R 取余,注意结束及结果; 小数部分:乘R 取整,注意精度及结果; 结果合并: ⑶ R=2k 进制之间的转换 二?八:3位?1位, 二?十六:4位?1位, 八?十六:以二进制为过度, 5. 进制的另一种表示方法: B (inary )----二; H(exadecimal)----十六; D(ecimal)----十; O----八 三、二—十进制代码(BCD 代码)

数字电子技术实验教案

湖南工学院教案用纸 实验1基本门电路逻辑功能测试(验证性实验) 一、实验目的 1?熟悉基本门电路图形符号与功能; 2?掌握门电路的使用与功能测试方法; 3?熟悉实验室数字电路实验设备的结构、功能与使用。 二、实验设备与器材 双列直插集成电路插座,逻辑电平开关,LED发光显示器,74LS00, 74LS20 , 74LS86,导 线 三、实验电路与说明 门电路是最简单、最基本的数字集成电路,也是构成任何复杂组合电路和时序电路的基本单 元。常见基本集门电路包括与门、或门、与非门、非门、异或门、同或门等,它们相应的图形符号与逻辑功能参见教材P.176, Fig.6.1。根据器件工艺,基本门电路有TTL门电路和CMOS门电路之分。TTL门电路工作速度快,不易损坏,CMOS门电路输出幅度大,集成 度高,抗干扰能力强。 1.74LS00 —四2输入与非门功能与引脚: 2. 74LS20 —双4输入与非门功能与引脚: 3. 74LS86 —四2输入异或门功能与引脚: 四、实验内容与步骤 1.74LS00功能测试: ①74LS00插入IC插座;②输入接逻辑电平开关;③输出接LED显示器;④接电源;⑤拔

动开关进行测试,结果记入自拟表格。 湖南工学院教案用纸

2. 74LS20功能测试: 实验过程与74LS00功能测试类似。 3. 74LS86功能测试: 实验过程与74LS00功能测试类似。 4. 用74LS00构成半加器并测试其功能: ①根据半加器功能:S A B , C AB,用74LS00设计一个半加器电路; ②根据所设计电路进行实验接线; ③电路输入接逻辑电平开关,输出接LED显示器; ④通电源测试半加器功能,结果记入自拟表格。 5. 用74LS86和74LS00构成半加器并测试其功能: 实验过程与以上半加器功能测试类似。 五、实验报告要求 1. 内容必须包括实验名称、目的要求、实验电路及设计步骤、实验结果记录与分析、实验总结与体会等。2?在报告中回答以下思考题: ①如何判断逻辑门电路功能是否正常? ②如何处理与非门的多余输入端? 实验2组合逻辑电路的设计与调试(设计性综合实验) 一、实验目的 1?熟悉编码器、译码器、数据选择器等MSI的功能与使用; 2?进一步掌握组合电路的设计与测试方法; 3?学会用MSI实现简单逻辑函数。 二、实验设备与器材

数字电路教学计划和总结

教学任务 本学期担任数字电路的教学任务,为学生学习单片机技术奠定良好的基础,锻炼学生的逻辑思维能力,培养学生学会自学,加强课堂管理,培养学生的学习能力。 内容构成: 1.数制与编码 2.逻辑门电路 3.组合逻辑电路 4.触发器 5.时序逻辑电路 重点难点。 重点:逻辑门电路以及逻辑运算的规律 组合逻辑电路的分析和设计方法。 触发器的功能和基本应用 难点:时序逻辑电路的分析和设计 触发器的功能以及应用 特点:本学科的理论学习还是比较简单,主要是在第一章数字电路基础中做好课前引导,让学生理解数字电路的特点,以便以后学习更为快速。 教学工作措施: 1.加强学生的上课听课秩序,严厉管理课堂秩序。、 2.对于概念性知识点,多举例,多比方,让学生能直观地理解并加强记忆。 3.在逻辑运算中,更应该与数学运算相结合,并予以区别。 4.组合逻辑电路的分析设计举例应切近生活,利用日常生活中的例子。分析编 码译码器时, 可以以编辑和翻译为例子。、 5.触发器的讲解。应该做到综合型强,总结性好。以免给学生学习带来学习的 盲目感。 总结 本学期任13级《数字电子技术》课程的教育教学任务,在此之前,学生已经学习了《电工基础》和《模拟电路》,对于电子专业的总体发展不是很陌生,但对于《数字电路》的发展还是比较感兴趣,基于以上原因,根据理论知识的深浅度,教学设计的指导思想是:根据学生的原有知识水平,引导学生通过学生探究小组课前调查活动,充分利用现代信息技术手段,把模拟信号、数字信号这种抽象的事物在课堂上可视化,降低学生接受难度。在教学过程中,借助电路图作为工具,并通过实际举例和分析设计简单的逻辑电路,给学生自主建构的台阶,这样在完成知识构建的同时,扩展学生的知识视野,了解现代数字技术。4个班级的总体情况还是比较良好。在这里对本期教育教学进行简单的总结; 1、教学情况

数字电路课程设计

数字电路课程设计 一、概述 任务:通过解决一两个实际问题,巩固和加深在课程教学中所学到的知识和实验技能,基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力,为今后从事生产和科研工作打下一定的基础。为毕业设计和今后从事电子技术方面的工作打下基础。 设计环节:根据题目拟定性能指标,电路的预设计,实验,修改设计。 衡量设计的标准:工作稳定可靠,能达到所要求的性能指标,并留有适当的裕量;电路简单、成本低;功耗低;所采用的元器件的品种少、体积小并且货源充足;便于生产、测试和维修。 二、常用的电子电路的一般设计方法 常用的电子电路的一般设计方法是:选择总体方案,设计单元电路,选择元器件,计算参数,审图,实验(包括修改测试性能),画出总体电路图。 1.总体方案的选择 设计电路的第一步就是选择总体方案。所谓总体方案是根据所提出的任务、要求和性能指标,用具有一定功能的若干单元电路组成一个整体,来实现各项功能,满足设计题目提出的要求和技术指标。 由于符合要求的总体方案往往不止一个,应当针对任务、要求和条件,查阅有关资料,以广开思路,提出若干不同的方案,然后仔细分析每个方案的可行性和优缺点,加以比较,从中取优。在选择过程中,常用框图表示各种方案的基本原理。框图一般不必画得太详细,只要说明基本原理就可以了,但有些关键部分一定要画清楚,必要时尚需画出具体电路来加以分析。 2.单元电路的设计 在确定了总体方案、画出详细框图之后,便可进行单元电路设计。 (1)根据设计要求和已选定的总体方案的原理框图,确定对各单元电路的设计要求,必要时应详细拟定主要单元电路的性能指标,应注意各单元电路的相互配合,要尽量少用或不用电平转换之类的接口电路,以简化电路结构、降低成本。

数字电路课程教案

课时授课计划 - 1 课号:1 (共8学时理论6学时实验0学时习题2学时) 课题:第1章绪论 1.1 概述 1.2 数制和码制 目的与要求: 了解本门课程的基本内容; 了解数字电路的特点及应用、分类及学习方法; 掌握二、八、十、十六进制的表示方法及相互转换; 知道8421BCD码、余三码、格雷码的意义及表示方法。 重点与难点: 重点:数制与码制的表示方法; 难点:二、八、十六进制的转换。 教具: 课堂讨论: 离散信号; 二、十、八、十六进制的特点及表示方法; 码的作用; 8421BCD码的特点及应用。 现代教学方法与手段: 数字电路网络课程 PowerPoint 复习(提问): 什么是模拟信号模拟电路; 什么是二进制代码。 授课班次: 课时分配:

提纲 第1章绪论 1.1 概述 1 . 1 . 1 数字信号和数字电路 1、数字信号与模似信号 2、模拟电路与数字电路 1 . 1 . 2 数字电路的分类 1、按电路类型分类 2、按集成度分类 3、按半导体的导电类型分类 1 . 1 . 3 数字电路的优点 1、易集成化 2、抗干扰能力强,可靠性高 3、便于长期存贮 4、通用性强,成本低,系列多 5、保密性好 1 .1 .4 脉冲波形的主要参数 1.脉冲幅度Um 2.脉冲上升时间 3.脉冲下降时间 4.脉冲宽度 5.脉冲周期 6.脉冲频率 7.占空比q 1.2 数制和码制 1 . 2 . 1 数制 一、十进制 二、二进制 三、八进制和十六进制 1 . 2 .2 不同数制间的转换 一、各种数制转换成十进制 二、十进制转换为二进制 三、二进制与八进制、十六进制间相互转换 1 . 2 . 3 二进制代码 一、二-十进制代码 8421码、5421码和余3码 二、可靠性代码 1.格雷码 2.奇偶校验码 作业:

《电子技术基础》数字电路教案(张兴龙主编教材)

学生情况分析 该门课程所授对象是电子20和电子22班,两个班的学生都接近50人,均为二年一期学生。该批学生已经学习了《电子技术基础》的模拟电路的大部分,对专业都有了较为全面的了解,对专业课的学习方法都有一定的掌握,并学习过《电工基础》课程且有部分同学通过了电工证的考试,还学习过电子技能训练,掌握了基本工具的使用,具备一定的制作能力并有浓厚的兴趣。他们都还处于入门期,对知识的渴望较高,对专业课的反映很好。这些都是有利的方面。 不利的方面也是有的,诸如存在学生之间发展不平衡:有的课外参加过制作培训,甚至有少部分同学对电视机维修都有较好的掌握,而有同学对起码的制作还没入门,更有甚者有学生还不会使用万用表。还存在班级发展不平衡:由于电子20班与电子22班在以前的授课中专业老师不一样,各任课教师的侧重点也各不相同,使得班级之间有各方面的差异。随着《电子技术基础》一年二期的学习,有部分同学产生了畏难情绪,失去了学习兴趣。这两个班都有少部分同学是从电子23班转入的,在学生不平衡方面就尤为明显。 当然,教学过程本身就是要针对学生的不同状况做出相应的布置,让学生能学有所获。在对教材处理上,在教学方法上,在教学辅导等等各教学环节上都要有针对性的去解决问题,达到建立学生的学习兴趣,构成学生的知识个性。使学生能成为社会的中等技术工人,并具备后绪发展能力。

教材分析 该课程选用的由张龙兴主编的《电子技术基础》,由高等教育出版社出版,是教育部规划教材。全书分两篇,第一篇模拟电路基础,第二篇数字电路基础。第一篇学生已经在一年二期学习了大部分内容,只有集成运放一节没有学习。第二篇数字电路包括逻辑门电路、数字逻辑基础、组合逻辑电路、集成触发器、时序逻辑电路、脉冲的产生和整形电路、数模和模数转换、智能化电子系统简介八个章节。 在教学中不可能面面具到,就需要适度的对教材进行处理,只能以部分为重点,根据学生的实际情况和教材内容,在教学中侧重于逻辑门电路(8课时)、数字逻辑基础(10课时)、组合逻辑电路的教学(14课时)、集成触发器(16课时)、时序逻辑电路(16课时);对脉冲波形的产生和整形电路让学生了解性掌握(4课时)。对于智能化电子系统简介、数模和模数转换章节由于内容太深,太抽象学生不易掌握,不予讲解,但在大学阶段又有较多的应用,故就鼓励学生进行自学,对于不懂的内容个别辅导。所授内容共68课时(共需17周),由于时间限制,对其他相关内容只能利用课余时间进行辅导以扩宽学生的知识面。故要求学生能利用课余时间去阅读相关资料,来达到学以至用的目的。《电子技术基础》虽然是一门基础课,但他的应用还是相当广泛的,故在教学中也应该认识到这一点,以指导学生利用所学知识灵活运用。

数字电路教案

皖西学院教案 学年第学期 课程名称数字电子技术 授课专业班级电气 授课教师张斌 职称副教授 教学单位机电学院 教研室

学期授课计划说明

单元教案

分教案

从集成度不同 数字集成电路可分为小规模、中规模、大规模、超大规模和甚大规模五类。 、数字集成电路的特点 )电路简单,便于大规模集成,批量生产 )可靠性、稳定性和精度高,抗干扰能力强 )体积小,通用性好,成本低. )具可编程性,可实现硬件设计软件化 )高速度低功耗 )加密性好 、数字电路的分析、设计与测试 ()数字电路的分析方法 数字电路的分析:根据电路确定电路输出与输入之间的逻辑关系。 分析工具:逻辑代数。 电路逻辑功能主要用真值表、功能表、逻辑表达式和波形图。 () 数字电路的设计方法 数字电路的设计:从给定的逻辑功能要求出发,选择适当的逻辑器件,设计出符合要求的逻辑电路。 设计方式:分为传统的设计方式和基于软件的设计方式。 模拟信号与数字信号 . 模拟信号 时间和数值均连续变化的电信号,如 正弦波、三角波等 、数字信号 在时间上和数值上均是离散、幅值只有和两种状态的信号。 数字电路和模拟电路:工作信号,研究的对象不同,分析、设计方法以及所用的数学工具也相应不同

教学内容纲要备注、模拟信号的数字表示 由于数字信号便于存储、分析和传输,通常都将模拟信号转换为数字信 号. →模数转换。 数字信号的描述方法 、二值数字逻辑和逻辑电平 二值数字逻辑:、数码表示数量时称二进制数,表示事物状态时称二值逻 辑。 表示方式:、在电路中用低、高电平表示、两种逻辑状态 、数字波形 数字波形是信号逻辑电平对时间的图形表示。 比特率每秒钟转输数据的位数 ()数字波形的两种类型:归零型和非归零型 ()周期性和非周期性 ()实际脉冲波形及主要参数 ()时序图表明各个数字信号时序关系的多重波形图。 课后作业

数字电路教案汇总

数字电路教案汇总

皖西学院教案2014 - 2015 学年第2学期 课程名称数字电子技术 授课专业班级电气1302-02 授课教师张斌 职称副教授 教学单位机电学院 教研室

学期授课计划说明 课程类别总学分 3.5 总学时56 本学期学时教学周次周学时学时分配 56 14 4 讲授实验上机考查其他56 教学目的要求 在元器件学习的基础上,掌握数字电路的基础和逻辑门电路的基础知识;重点掌握组合逻辑电路和时序逻辑电路的分析和设计方法,尤其是中规模集成的分析和设计方法;掌握D/A和A/D转换以及脉冲波形的产生和整形电路;了解半导体存储器的基本概念和基本知识。 教学重点难点重点掌握逻辑门电路的基础知识,组合逻辑电路和时序逻辑电路的分析和设计方法,尤其是中规模集成的分析和设计方法。此部分内容也是该门课程的教学难点。 选用教材 电子技术基础(数字部分)康华光等(第五版),北京:高等教育出版社

主要参考资料1.清华大学电子学教研组,阎石主编,数字电子技术基础,第四版,北京,高等教育出版社,1998。 2.李士雄,丁康源主编,数字集成电子技术教程,北京:高等教育出版社,1993。 3.曹汉房,陈耀奎编著,数字技术教程,北京:电子工业出版社,1995。4.扬晖,张风言编著,大规模可编程逻辑器件与数字系统设计,北京:北京航空航天大学出版社,1998。 备注 单元教案 知识单元 主题 数字逻辑基础学时 教学内容(摘要)1.1 数字电路与数字信号 1.2 数制 1.3 二进制数的算术运算 1.4 二进制代码 1.5 二值逻辑变量与基本逻辑运算1.6 逻辑函数及其表示方法

基本逻辑门电路1教案

题目:模块六数字电路的基本知识 第二节基本逻辑门 教学目的: 1、掌握与门、或门、非门的逻辑功能及逻辑符号; 2、掌握基本逻辑运算、逻辑函数的表示方法; 3、掌握三种基本的逻辑电路。 重点与难点:重点:基本逻辑关系:“与”关系、“或”关系、“非”关系 难点:基本逻辑门电路的工作原理及其逻辑功能 教学方法: 1、讲授法 2、演示法 组织教学: 1、检查出勤 2、纪律教育 课时安排: 2课时 教学过程(教学步骤、内容等) 模块六数字电路的基本知识 复习回顾: 1、什么叫模拟电路?什么叫数字电路? 2、常用的数制有哪几种?(要会换算) 导入新课: 数字电路为什么又叫逻辑电路?因为数字电路不仅能进行数字运算,而且还能进行逻辑推理运算,所以又叫数字逻辑电路,简称逻辑电路。 定义:所谓逻辑电路是指在该电路中,其输出状态(高、低电平)由一个或多个输入状态(高、低电平)来决定。 数字电路的基本单元是基本逻辑电路,它们反映的是事物的基本逻辑关系。 什么是门? 新课讲解: 基本逻辑门 三种基本逻辑关系 一、“与”逻辑 1、定义:如果决定某事物成立(或发生)的诸原因(或条件)都具备,事件才发生,而只要其中一个条件不具备,事物就不能发生,这种关系称为“与”关系。

2、示例:两个串联的开关控制一盏电灯。 A B 3、“与”逻辑关系真值表 0---开关断开/灯不亮 1---开关闭合/灯亮 4、逻辑规律:有“0”出“0”,全“1”出“1” 5、逻辑符号:二、“或”逻辑 1 、定义:A 、B 等多个条件中,只要具备一个条件,事件就会发生,只有所有条件均不具备的时候,事件才不发生,这种因果关系称为“或”逻辑。 2、示例:两个并联的开关控制一盏电灯。 A 3、“或”逻辑关系真值表 0---开关断开/灯不亮 1---开关闭合/灯亮 4、逻辑规律:有“1”出“1”,全“0”出“0” 5、逻辑符号:三、“非”逻辑 1、定义:决定事件结果的条件只有一个A ,A 存在,事件Y 不发生,A 不存在,事件Y 发生,这种因果关系叫做“非”逻辑。 R

数字电子技术教案word版

第一章数字逻辑概论 一、实施时间:第 1-2 周二、实施对象:电信、应物、电气 三、编写时间:1.5 四、课时数:6学时 五.目的要求: (一)教学目的与要求: 1、掌握常见的数制(如:十进制、二进制、八进制、十六进制)及其之间的相互转换; 2、掌握常见的代码(如:8421码、余三码、循环码)以及数制与代码之间的相互转换。 3、掌握二值逻辑变量与基本逻辑运算和逻辑函数及其表示方法(如:真值表、逻辑函数表达式、卡诺图、逻辑电路图、波形图)及其之间的相互转换。 4、掌握基本逻辑运算与、或、非。 5、掌握二进制数(包括正、负二进制数)的表示和补码、反码的运算。 六、主要内容: 1、常见的代码(如:8421码、余三码、循环码)以及数制与代码之间的相互转换。 2、掌握二值逻辑变量与基本逻辑运算和逻辑函数及其表示方法及其之间的相互转换。 3、掌握二进制数(包括正、负二进制数)的表示和补码、反码的运算。 七、本章重点和难点: 1、重点:(1)常见的代码(如:8421码、余三码、循环码、余三循环码)。 (2)数制与代码之间的相互转换,二值逻辑变量与基本逻辑运算和逻辑函数及其表示方法。 2、难点:二进制数(包括正、负二进制数)的表示法和补码的运算。 第一节数制与编码 一、实施时间:第 1 周二、实施对象:电信、应物、电气 三、编写时间:1.5 四、课时数:4学时 五.目的要求: 1、掌握常见的数制(如:十进制、二进制、八进制、十六进制)及其之间的相互转换; 2、掌握常见的代码(如:8421码、余三码、循环码)以及数制与代码之间的相互转换。 六、主要内容: 1、十进制、二进制、八进制、十六进制及其之间的相互转换; 2、二进制正负数的表示及运算。 3、8421码、余三码、循环码以及数制与代码之间的相互转换。 七、教学重点和难点: 8421码、余三码、循环码以及数制与代码之间的相互转换。

数字电路教案72425

第一讲第1、2课时 第一节数字电路特点 教学目的:1、让学生对该科产生浓厚的兴趣 2、培养学生的学习个性,建立起学生的发展方向 3、指导好该科目的学习重点与学习方法 教学重点:如何去学习数字电路及二极管的开关特性 教学难点:让学生产生学习兴趣 教学方法:讲授法,讨论法 教学时间:2课时 教学过程: 要教好这门课程,就必需要让学生产生浓厚的学习兴趣,要达到这一目的光说说是不行的,要让学生知道在生活中的应用,相信数字电路学起来简单,并提供一些切实可行的学习方法,适当提出一些合理化要求。并就该课程的教法说与同学听,听取学生的意见,争取能用学生喜欢的方式去教育学生,为了学生的一切出发,达到教好这门课程的目的,让学生学有所获。(学生需求分析调查,以调整教学定位) 一、基础分析: 通过一年的学习,大家已经到了二年级了,有了一定的专业基础,例如电工基础,数学基础,电子基础,识图基础,具备了一定的自我分析能力,能够做好一些简单的制作。通过电子技术基础的一学期的学期,有部分同学建立起了学习兴趣,达到了一定的水平,但也有一部分同学还没有较好的入门。(引入学生情况分析) 二、学科分析: 该学科他可以独成一体,学习起来与以前的专业知识联系不大,与数学关系不密切。应用相当广泛。在我们生活的方方面面都有应用,20世纪90年代开始,整个社会进入数字化、信息化、知识化时代,数字技术与国民经济和社会生活的关系日益密切。计算机、计算机网络、通信、电视及音像传媒、自动控制、医疗、测量等无一不纳入数字技术并获得较大技术进步。例:Internet 、程控电话、移动通信、可视电话、会议电视、数字电视、数字相机、VCD 、DVD、交通灯、广告牌等等。要求有一定的想象力,要有严谨的思维习惯。要求同学们要建立起信心,做好准备来学好该科目。

数字电路电子教案(打印版)

《数字电路》教案 序言 1.课程性质 《数字电子技术基础》课程是电气信息类专业具入门性质的重要的专业基础课。 2.课程目标 获得适应信息时代的数字电子技术方面的基本理论、基本知识和基本技能。培养分析和解决实际问题的能力,为以后深入学习数字电子技术及其相关学科和专业打好以下两方面的基础: 1、正确分析、设计数字电路,特别是集成电路的基础; 2、为进一步学习设计专用集成电路(ASIC)的基础。 3. 课程研究内容 数字信号传输、变换、产生等。内容涉及相关器件、功能电路及系统。 硬件处理数字信号的电子电路及其逻辑功能 数字电路的分析方法 数字电路的设计方法 各种典型器件在电子系统中的应用

软件系统分析、设计的软件工具——ABEL、VHDL、VerlogHDL、EDA工具软件QuartusII等 4.课程特点与学习方法 (1)课程特点 a、发展快 b、应用广 c、工程实践性强 摩尔定律:集成度按10倍/6年的速度发展。 (2)学习方法 打好基础、关注发展、主动更新、注重实践 a、掌握基本概念、基本电路和基本分析、设计方法 b、能独立的应用所学的知识去分析和解决数字电路的实际问题的能力。 5.主要教材及参考书 阎石主编《数字电子技术基础.》第四版高等教育出版社 蔡惟铮主编《基础电子技术》《集成电子技术》高等教育出版社郑家龙、王小海主编《集成电子技术基础教程》高等教育出版社电子工程手册编委会等编.中外集成电路简明速查手册-TTL、CMOS.电子工业出版社 王金明,杨吉斌编.《数字系统设计与VerliogHDL 》电子工业出

版社 罗杰、谭力编.《数字ASIC设计》讲义 第一章数字逻辑基础 1.1 数字电路与数字信号 1.1.1数字技术的发展及其应用 60~70代- IC技术迅速发展:SSI、MSI、LSI 、VLSI。10万个晶体管/片。 80年代后- ULSI ,1 0 亿个晶体管/片、ASIC 制作技术成熟 90年代后- 97年一片集成电路上有40亿个晶体管。 目前-- 芯片内部的布线细微到亚微米(0.13~0.09 m)量级,微处理器的时钟频率高达3GHz(109Hz) 将来- 高分子材料或生物材料制成密度更高、三维结构电路发展特点: 以电子器件的发展为基础 电子管时代 晶体管时代

最新数字电子技术基础电子教案——第2章逻辑门电路.docx

第 2 章逻辑门电路 2.2基本逻辑门电路 在数字系统中,大量地运用着执行基本逻辑操作的电路,这些电路称为基本逻辑电路或门电路。早期的门电路主要由继电器的触点构成,后来采用二极管、 三极管,目前则广泛应用集成电路。 2.2.1三种基本门电路 1.二极管与门电路 实现“与”逻辑关系的电路叫做与门电路。由二极管组成的与门电路如图 2.5 ( a)所示,图 2.5 所示( b)为其逻辑符号。图中A、 B 为信号的输入端, Y 为信号的输出端。 图2.5 二极管与门 对二极管组成的与门电路分析如下。 (1) A、B 都是低电平 uY≈ 0V (2) A 是低电平, B 是高电平 uY≈0V (3) A 是高电平, B 是低电平 uY≈0V (4) A、B 都是高电平 uY≈ 5V 从上述分析可知,该电路实现的是与逻辑关系,即“输入有低,输出为低; 输入全高,输出为高”,所以,它是一种与门。 2.二极管或门电路 实现或逻辑关系的电路叫做或门电路。由二极管组成的或门电路如图 2.6所示,其功能分析如下。

图2.6 二极管或门 (1) A、B 都是低电平 uY=0V (2) A 是低电平, B 是高电平 uY≈5V (3) A 是高电平, B 是低电平 uY≈5V (4) A、B 都是高电平 uY≈ 5V 通过上述分析,该电路实现的是或逻辑关系,即“输入有高,输出为高;输 入全低,输出为低”,所以,它是一种或门。 3.三极管非门 实现非逻辑关系的电路叫做非门电路。因为它的输入与输出之间是反相关 系,故又称为反相器,其电路如图 2.7 所示。 图 2.7三极管反相器 2.2.2DTL与非门 采用二极管门电路和三极管反相器,可组成与非门和或非门扩大逻辑功能, 这种电路应用非常广泛。 DTL 与非门电路是由二极管与门和三极管反相器串联而成的,其电路图及逻辑符号分别如图 2.8 (a)和图 2.8 (b)所示。

数字电路基础教案

第7章数字电路基础 【课题】 7.1 概述 【教学目的】 1.让学生了解数字电子技术对于认知数码世界的重要现实意义,培养学生学习该科目的浓厚兴趣。 2.明确该科目的学习重点和学习方法。 【教学重点】 1.电信号的种类和各自的特点。 2.数字信号的表示方法。 3.脉冲波形主要参数的含义及常见脉冲波形。 4.数字电路的特点和优越性。 【教学难点】 数字信号在日常生活中的应用。 【教学方法】 讲授法,讨论法 【参考教学课时】 1课时 【教学过程】 一、新授内容 7.1.1 数字信号与模拟信号 1. 模拟信号:在时间和数值上是连续变化的信号称为模拟信号。 2. 数字信号:在时间和数值上是离散的信号称为数字信号。 讨论:请同学们列举几种常见的数字信号和模拟信号。 7.1.2 脉冲信号及其参数 1. 脉冲信号的定义:在瞬间突然变化、作用时间极短的电压或电流信号。 2.脉冲的主要参数:脉冲幅值V m 、脉冲上升时间t r 、脉冲下降时间t f 、脉冲宽度t W 、脉冲周期T及占空比D。 7.1.3 数字电路的特点及应用 特点:1.电路结构简单,便于实现数字电路集成化。

2.抗干扰能力强,可靠性高。(例如手机) 3.数字电路实际上是一种逻辑运算电路,电路分析与设计方法简单、方便。 4.数字电路可以方便地保存、传输、处理数字信号。(例如计算机) 5.精度高、功能完备、智能化。(例如数字电视和数码照相机) 应用:数字电路在家电产品、测量仪器、通信设备、控制装置等领域得到广泛的应用,数字化的发展前景非常宽阔。 讨论:1.你用过哪些数字电路产品,请列出1~2个较为典型的例子,并就其中一个产品说明它的功能及优点和缺点。 二、课堂小结 1. 数字信号与模拟信号的概念 2. 脉冲信号及其参数 3. 数字电路的特点及应用 三、课堂思考 讨论:谈谈如何才能学好数字电路课程? 四、课后练习 P143思考与练习题:1、 2、3。 【课题】 7.2 常用数制与编码 【教学目的】 1.掌握二进制、十进制、十六进制数的表示方法及数制间的相互转换。 2.了解8421BCD码的表示形式。 【教学重点】 1.二进制、十六进制数的表示方法。 2.数字电路中为什么广泛采用二、十六进制数。 3.为什么要进行不同数制之间的转换。 4.进行二进制、十进制数、十六进制之间的相互转换。 5. 8421BCD码。 【教学难点】

高中通用技术选修《电子控制技术:数字电路》教学建议教案设计

数字电路一、内容结构图

(一)重点分析 1、基本逻辑门电路的逻辑关系、真值表和波形图 门电路是构成数字集成电路的基本单元,若将非常复杂的数字电路进行细分解,可以知道都是由与门、或门、非门这三种基本门电路构成的。门电路的输出数据由输入数据决定,其逻辑关系函数表达式表达了门电路的输出与输入之间的关系,是分析和设计数字电路的基础。 真值表以表格形式反映了输入信号所有变化可能性对应输出信号的关系,是逻辑关系函数表达式的表格化形式,真值表是理解数字电路工作状态的重要依据。 波形图反映了门电路的输入、输出信号电平随时间变化的情况,也是由逻辑关系函数表达式决定的。 逻辑关系函数表达式、真值表和波形图以三种不同形式来表达数字电路的工作状态,其本质都是一样的。 2、TTL型和CMOS型集成电路在电气特性方面的差别 数字集成电路有TTL与CMOS两种类型,例如集成与、或、非门也有TTL与CMOS两种类型,TTL主要由NPN 型晶体管构成,CMOS主要由场效应管构成,由于使用器件不同,决定了这两种集成电路的结构和电气性能有很大不同,使用时,理解它们的特性非常重要。 由于TTL型和CMOS型集成电路的最大额定电源电压、逻辑电平、延迟时间等参数都有较大的差别,所以TTL型和CMOS型集成电路不能混合使用。 虽然TTL型和CMOS型集成电路在电气特性方面有较大差别,但相同功能电路的逻辑关系是相同的,不影响对电路的逻辑分析。 3、用数字集成电路安装简单的实用电路 用数字集成电路构成简单实用电路,是数字集成电路应用和构成较复杂组合数字电路的基础。学生通过电路安装,可以认识和熟悉电子元器件,初步掌握焊接、安装技巧,体验成功的快乐,培养对电子技术的兴趣。在教学时可选用与、或、非、与非、或非等集成门构成多谐振荡电路,利用多谐振荡器搭接电子门铃、报警器等简单、有趣电路,有兴趣的学生除了学会安装多谐振荡器外,还可了解多谐振荡器频率的计算方法,以便调节频率、改变音调。

数字电子技术基础课程教学大纲简介

数字电子技术基础课程教学大纲 英文名称:Digital Electronic Technology Fundamentals 课程编码:04119630 学时:64/12学分:4 课程性质:专业基础课课程类别:理论课 先修课程:高等数学、普通物理、电路理论、模拟电子技术基础 开课学期:第4学期 适用专业:自动化、电气工程及其自动化、工业自动化仪表 一、课程教学目标 通过本课程的理论教学和实验训练,能够运用数字电子技术的基本概念、基本理论与分析方法和设计方法,解决较复杂的数字电路系统相关的工程问题,使学生具备下列能力: 1、使用逻辑代数解决逻辑问题; 1、正确使用数字集成电路; 1、分析和设计数字逻辑电路; 2、正确使用数字逻辑电路系统的辅助电路。 三、课程的基本内容 3.1 理论教学 1、数字逻辑基础(支撑教学目标1) 教学目标:使学生掌握逻辑代数的三种基本运算、三项基本定理、基本公式和常用公式。了解二进制的算术运算与逻辑运算的不同之处。掌握逻辑函数的四种表示方法(真值表法、逻辑式法、卡诺图法及逻辑图法)及其相互之间的转换。理解最小项的概念及其在逻辑函数表示中的应用。掌握逻辑函数的公式化简法和图形化简法。掌握约束项的概念及其在逻辑函数化简中的应用。

本章主要内容: (1)数字信号与数字电路 (2)逻辑代数 (3)逻辑函数及其表示方法 (4)逻辑函数的化简 2、逻辑门电路(支撑教学目标2) 教学目标:使学生了解门电路的定义及分类方法。二极管、三极管的开关特性,及分立元件组成的与、或、非门的工作原理。理解TTL 反相器的工作原理,掌握其静态特性,了解动态特性。了解其它类型TTL门的工作原理及TTL集成门的系列分类。 本章主要内容: (1)半导体二极管门电路 (2)半导体三极管门电路 (3)TTL集成门电路 3、组合逻辑电路(支撑教学目标3) 教学目标:使学生掌握组合逻辑电路的设计与分析方法。理解常用组合逻辑电路,即编码器、译码器和数据选择器的基本概念、工作原理及应用。掌握译码器和数据选择器在组合电路设计中的应用。 本章主要内容: (1)概述 (2)组合逻辑电路的分析与设计 (3)常用组合逻辑电路 (4)用中规模集成电路设计组合逻辑电路 4、触发器(支撑教学目标3) 教学目标:使学生理解触发器的定义。掌握基本SR触发器、同步触发器、主从触发器、边沿 触发的触发器的动作特点。掌握触发器的各种逻辑功能(DFF,JKFF,SRFF,TFF,T’FF)。掌握触发器 逻辑功能与触发方式的区别。掌握画触发器工作波形的方法。 本章主要内容: (1)概述 (2)基本SR触发器(SR锁存器)和同步触发器(电平触发) (3)主从触发器(脉冲触发)和边沿触发器(边沿触发) (4)触发器的逻辑功能及描述方法 5、时序逻辑电路(支撑教学目标3) 教学目标:使学生掌握时序逻辑电路的定义及同步时序电路的分析与设计方法。了解异步时序电路的概念。理解时序电路各方程组(输出方程组、驱动方程组、状态方程组),状态转换表、状态转换图及时序图在分析和设计时序电路中的重要作用。了解常用时序电路(计数器、移位寄存器)的组成及工作原理及其应用。 本章主要内容: (1)时序电路的基本概念

数字电路基础知识 第一节概述

江苏省职业学校课程授课教案 (2013 — 2014 学年第一学期) 课程名称电子线路 课程类别理论 专业电工电子 授课班级一() 授课教师陈曦 学校江苏省东台中等专业学校

课题序号授课班级 授课课时授课形式图示讲授法 章节名称第十二章数字电路基础知识 第一节概述 使用教具常规教具 教学目标1.知道数字信号与模拟信号的特点、发展及应用2.会区别数字电路与模拟电路 教学重点数字电路的特点教学难点数字电路的特点 更新补充 课后作业P182 12-1 教学后记

学生预习内容 1、电子线路中的电信号分类,特点? 2、电路分类,特点? 3、了解数字电路的发展和应用 课堂教学安排 主要活动学习 活动 教师 活动 【复习】 1.三极管、二极管的开关特点。2.反相器的工作原理。学生回 答 教师提 问 【导入】 电子线路中电信号分为模拟信号、数字信号两部分,前面1~9章的内容介绍的即为模拟部分,从本章开始正式进入数字电路的学习。【新授】 第十二章数字电路基础知识 第一节概述 一、数字技术的发展及其应用 60~70代- IC技术迅速发展:SSI、MSI、LSI 、VLSI。10万个晶体管/片。80年代后- ULSI,1 0亿个晶体管/片、ASIC 制作技术成熟 90年代后- 97年一片集成电路上有40亿个晶体管。 目前-- 芯片内部的布线细微到亚微米(0.13~0.09 m)量级 将来--高分子材料或生物材料制成密度更高、三维结构的电路 二、数字电路的分类及特点 1、数字电路的分类 (1)根据电路的结构特点及其对输入信号的响应规则的不同, --数字电路可分为组合逻辑电路和时序逻辑电路。 (2)从电路的形式不同, --数字电路可分为集成电路和分立电路 (3)从器件不同 --数字电路可分为CMOS 和TTL电路 (4)从集成度不同 --数字集成电路可分为小规模、中规模、大规模、超大规模和甚大规模五类。

数字电子技术基础电子教案

第1、2 课时

(前置、功放)

运算规则:加法规则:0+0=0,0+1=1,1+0=1,1+1=10 减法规则:0-0=0,10-1=1,1-0=1,1-1=0 乘法规则:00=0,0.1=0 ,1.0=0,1.1=1 3.八进制: (1)数码: 0~7 ;基数是8。 (2)进位规律:逢八进一,即:7+1=10。 (3)权展开式:N 8=a n-1 ×8n-1+a n-2 ×8n-2+…+a -m ×8-m = 例如:(207.04) 8=2×82+0×81+7×80+0×8-1+4 ×8-2=(135.0625) 10 4.十六进制: (1)数码: 0~9、A~F;基数是16。 (2)进位规律:逢十六进一,即: F+1=10 。 (3)权展开式:N 16=a n-1 ×16n-1+a n-2 ×16n-2+…+a -m ×16-m= 例如:(D8.A) 16=13×161+8×160+10 ×16-1=(216.625) 10 ∑- - = ? 1 8 n m i i i a 各数位的权是 8 的幂 ∑- - = ? 1 16 n m i i i a 各数位的权是 16 的幂 几种进制数之间的对应关系(P5表1.2.3) 十进制数二进制数八进制数十六进制数 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 0 1 10 11 100 101 110 111 1000 1001 1010 1011 1100 1101 1110 1111 1 2 3 4 5 6 7 10 11 1 2 3 4 5 6 7 8 9 A B C D E F 16 10000 12 13 14 15 16 17 20 10

数字电路教案

填表说明:1、各栏目填写内容较多时,可附页;2、教学内容与讨论、思考题、作业部分可合二为一。

填表说明:1、各栏目填写内容较多时,可附页;2、教学内容与讨论、思考题、作业部分可合二为一。

填表说明:1、各栏目填写内容较多时,可附页;2、教学内容与讨论、思考题、作业部分可合二为一。

教学内容(包括基本内容、重点、难点): 基本内容: 2.4 TTL逻辑门电路 1.基本的BJT反相器的动态性能 2.TTL反相器的基本电路 3.TTL反相器的传输特性 4.TTL与非门电路 5.TTL与非门的技术参数 6.TTL或非门、集电极开路门和三态门电路 7.改进型TTL门电路抗饱和TTL电路 2.6 CMOS逻辑门电路 1.复习MOS管的有关知识 2.CMOS反相器 3.CMOS门电路 4.BiCMOS门电路 5.CMOS传输门 6.CMOS逻辑门电路的技术参数 小结与布置作业 重点:1.TTL反向器的外特性、电压传输特性和输入输出特性、灌电流,拉电流、扇入与扇出数、噪声容限等; 2.OC门、TSL门; 3.CMOS反相器的静态输入特性和输出特性、CMOS反相器的动态特性。 难点:1. 灌电流,拉电流; 2. OC门; 3. TTL、COMS门电路的优缺点比较。 填表说明:1、各栏目填写内容较多时,可附页;2、教学内容与讨论、思考题、作业部分可合二为一。

填表说明:1、各栏目填写内容较多时,可附页;2、教学内容与讨论、思考题、作业部分可合二为一。

填表说明:1、各栏目填写内容较多时,可附页;2、教学内容与讨论、思考题、作业部分可合二为一。

数字电路基础知识

电工电子技术及应用教案(9-1) 【课题编号】 ××-09-01 【课题名称】 数字电路基础知识 【教学目标】 应知: 1.了解数字电路的特点;了解晶体管的开关特性; 2.掌握数字电路常用数制及其相互转换; 3.了解8421BCD码的表示形式。 应会: 会进行二进制、十进制、十六进制数的相互转换。 【教学重点】 数字电路的特点;常用的数制与码制。 【难点分析】 晶体管的开关特性;数制间的相互转换。 【学情分析】 由本节进入数字电路的学习,选用多媒体动画展示数字电路在通信技术、自动控制和测量仪表等技术领域中的应用,引入课题,通过阐述数字电路的特点让学生明确本章内容所研究的对象特点,由多媒体课件演示晶体管的开关特性,增强学生的感性认识。通过举例分析,帮助学生了解数制和码制的概念,掌握二进制、十六进制、十进制数间的转换。 【教学方法】 讲练法、对比法、演示法 【教具资源】 多媒体课件 【课时安排】 2学时(90分钟) 【教学过程】 一、导入新课 【多媒体演示】数字电路的应用 联系生活实际,引导同学们关注数字电路在通信技术、自动控制、测量仪表和日常生活领域中的应用。 二、讲授新课 教学环节1:数字信号及数字电路的特点 1.数字信号 教师活动:投影模拟信号和数字信号的波形,引导学生观察分析; 学生活动:观察模拟信号和数字信号的波形,比较它们的不同,分析数字信号的特点; 教师活动:总结,指出数字电路的特点。 2.晶体管的开关特性

【多媒体演示】二极管、三极管的开关特性 教师活动:展示二极管、三极管做开关使用的动画; 学生活动:观察二极管导通、截止;三极管饱和、截止时相当于的“开关”作用,体会数字信号形成的过程。 教学环节2:数制和码制 1.数制 教师活动:举例说明十进制、二进制的十六进制,介绍二进制数和十进制数相互转换的规律; 学生活动:巩固练习二进制数、十六进制和十进制数的相互转换。 2.码制 教师活动:举例说明码制,介绍十进制数和8421BCD码相互转换的规律; 学生活动:巩固练习十进制数和8421BCD码的相互转换。 三、课堂小结 二进制、十六进制数和十进制数的相互转换规律: 二、十六进制数转换为十进制数:数码乘权再相加; 十进制数转换为二、十六进制数:除基数(2、16)取余倒记法。 四、课堂练习 《学习指导与练习》; 五、课后作业 【板书设计】 【教学后记】

相关主题
文本预览
相关文档 最新文档