当前位置:文档之家› ADF4360-7压控振荡器

ADF4360-7压控振荡器

ADF4360-7压控振荡器
ADF4360-7压控振荡器

通信原理课程设计

课程名称:基于ADF4360-7的集成整

形N合成器的压控振荡器

指导老师:

专业:

班级:

姓名:

学号:

摘要:

ADF4360-7是一款整合了整形N合成器的压控振荡发生器(VCO)。ADF4360-7的中心频率是由外部传感器进行设定的。其允许频率范围从350MHz到1800MHz。另外可以选择使用2分频,则用户接受的射频输出信号频率范围在175MHz到900MHz。全部片内寄存器都是由一个简单的3线接口来控制的。设备操作电压范围从3.0V到3.6V并且在不使用时可以随时关

闭。

Abstract:

The ADF4360-7 is an integrated integer-N synthesizer and voltage controlled oscillator (VCO). The ADF4360-7 center frequency is set by external inductors. This allows a frequency range of between 350 MHz to 1800 MHz. In addition, a divide-by-2 option is available, whereby the user receives an RF output of between 175 MHz and 900 MHz. Control of all the on-chip registers is through a simple 3-wire interface. The device operates with a power supply ranging from 3.0 V to 3.6 V and can be powered down when not in use.

关键词:压控振荡器、合成器、频率、结构、功能

Key words: VCO、synthesizer 、frequency、configuration、function

目录

集成整形N合成器的压控振荡器 (5)

芯片特性 (5)

应用范围 (5)

技术规范 (6)

时序特性 (7)

极限工作范围 (8)

管脚结构和功能描述 (9)

典型工作特性 (11)

电路说明 (17)

参考输入部分 (17)

比例器 (17)

A,B计数器 (17)

R 计数器 (18)

PFD和CHARGE PUMP (18)

MUXOUT和LOCK DETECT (19)

输入转换寄存器 (20)

压控振荡器VCO (20)

锁存器结构 (23)

开机 (26)

控制写入 (28)

N计数器写入 (30)

R计数器写入 (30)

ADF4360-7的应用 (32)

外观尺寸 (39)

集成整形N合成器的压控振荡器

芯片特性:

输出频率范围:350MHz 到 1800MHz

2分频输出

电源 3.0V 到 3.6V

逻辑兼容 1.8V

*整形N合成器

可编程双模比例器 8/9,16/17

可编程输出电平

三线接口

逻辑锁与数字锁检测

硬件及软件关闭模式

应用范围:

手持无线通信(DECT, GSM, PCS, DCS, WCDMA)测试设备;无线局域网;有线电视设备

技术规范:

AV DD = DV DD = V VCO= 3.3 V ± 10%; AGND = DGND = 0 V;TA = T MIN to T MAX

表1

1.工作温度范围 -40°C to +85°C

2.设计保证符合样值

3.ICP内部参数使整个频率范围保持环路增益不变

4.T A= 25°C; AV DD= DV DD= V VCO= 3.3 V;P = 32

5.这些特征是为了保证VCO核心电流=15mA

6.变频范围1.45G—1.75G,PFD频率200kHZ,环路带宽10kHz

7.V VCO用50?负载电阻

8.VCO的噪声在开环下测量

9.合成器固有噪声通过测量VCO带内的相位噪声输出功率减去20logN(N为对频率的分频值)

10.相位噪声符合EVAL-adf4360-xEB1和HP8562E频谱分析仪, 频谱分析仪用来测量合成器输出, 偏移频率=1kHz

11.f REFIN=10 MHz;f PFD=200kHz;N=8000;环B/W=10kHz

12.f REFIN=10 MHz;f PFD=1MHz;N=1600;环B/W=25kHz

13.寄生信号符合EVAL-adf4360-xEB1和HP8562E频谱分析仪, 频谱分析仪用来测量合成器输出, f REFOUT = 10 MHz

时序特性

AV DD = DV DD = V VCO= 3.3 V ± 10%;AGND = DGND = 0 V;1.8 V and 3 V logic levels used;T A = T MIN to T MAX

表2

图2 时序特性表

极限工作范围

T A= 25°C,其它另做说明

表3

GND = AGND = DGND = 0 V.

当芯片工作在高于以上所列的最大工作范围时将可能造成设备的损坏。这只是强度的范围;设备如需要工作在这些条件或其他高于所列条件下的情况没有列出。长时间处于最大范围条件下工作会影响设备的可靠性。

这种设备是一种带有<1kv ESD范围的高性能射频集成电路,并且是ESD敏感的。应该采取适当的保护措施来操作装配。

晶体管参数

12543 (CMOS) and 700 (Bipolar)

ESD注意

ESD(静电释放) 敏感装置。静电荷在人体和测试装置上积累到4000V并能毫无察觉的释放。虽然这种产品提供特有的ESD保护电路,但设备可能会受高静电能释放的影响而发生永久损坏。因此,适当的ESD预防可以避免性能的衰减或功能性的损坏。

管脚结构和功能描述

图3 引脚结构图

表4 各引脚说明及取值范围

典型工作特性

图4 VCO开环相位噪声,L1,L2=13nH

图5 VCO相位噪声,500MHz,200kHzPFD,环路带宽10kHz

图 6 VCO相位噪声,250MHz,允许2分频,200kHzPFD,环路带宽10kHz

图 7 闭环相位噪声500MHz(信道间隔200kHz)

图 8 参考分支500 MHz(信道间隔200kHz,环路带宽10kHz)

图 9 参考分支500MHz(信道间隔1MHz,环路带宽25kHz)

图 10 VCO开环相位噪声,L1,L2=1.0nH

图 11 VCO相位噪声,1250MHz,200kHzPFD,环路带宽10kHz

图 12 VCO相位噪声,625MHz,允许2分频,200kHzPFD,环路带宽10kHz

图 13 闭环相位噪声1250MHz(信道间隔200kHz)

图 14 参考分支1250 MHz(信道间隔200kHz,环路带宽10kHz)

图 15 参考分支1250MHz(信道间隔1MHz,环路带宽25kHz)

电路说明

参考输入部分

参考输入部分在图16中给出。SW1和SW2是常闭开关。SW3是常开开关。当电源给电,SW3闭合,SW1和SW2打开。这样可以保证REF IN管脚断开。

图 16 参考输入级

比例器(P/P+1)

双模比例器(P/P+1),在计数器A,B的输入下,允许有较大分频比例N(N=BP+A)。双模比例器工作在CML水平,从VCO口获得时钟并分割为可用频率给A和B计数器使用。比例器为可编程的。它基于一个同步4/5核心并可以用软件设定工作在8/9或16/17比例下。尽管可以编程得到32/33的比例但在这里是不可用的。当接近于输出频率时会得到一个最小比例值;这个最小值取决于比例系数P,并由(P方-P)给出。

A,B计数器

A,B CMOS型计数器和双模比例器共同完成了较大分配比例的相同步逻辑反馈计数。计数器在比例器输出<=300MHz时工作。所以,当VCO的频率为2.5GHz时,比例系数应当选择16/17,而不能选择8/9。根据这个原理当VCO频率在700MHz以下时,系数为8/9是最好的选择。

脉冲消隐功能

A,B计数器和双模比例器所产生的频率由基带频率除以R得出,VCO频率等于下式:

fvco是压控振荡器的输出频率

P是双模比例器比例系数(取8/9, 16/17等)

B是13位计数器预设初值(取3—8191)

A是5位计数器预设初值(取0—31)

f

VCO是唯一的外部参考振荡器频率

图 17 A,B计数器

R计数器

14位R计数器允许将输入基带频率分频为PFD的基准时钟频率。分频比范围从1到16383。

PFD和CHARGE PUMP

PFD通过R计数器和N计数器的输入来提供一个比例信号输出,这个信号的相位和频率不同于输入。图18给出了个简单的例子。PFD中包含一个可编程延时器来控制反馈脉冲的宽度。这个脉冲保证了在PFD传送过程中不产生死区,并且可以减小最小相位噪声和参考误差。2bit的R计数器写入控制ABP2和ABP1共同决定了脉冲宽度。

图 18 PFD原理图和时序图

MUXOUT和LOCK DETECT

对于ADF4360系列的多路复用输出允许用户在片内访问大量内部资源。MUXOUT的状态由M3,M2和M1的写入进行控制。由表7和图19表示MUXOUT的状态。

锁定检测

MUXOUT能够通过编程完成两种类型的锁定检测:数字的和模拟的。数字锁定检测是被激活的。当R计数器中的LDP写入被设定为0时,且当相位差错在3个连续相位检测周期中小于15ns时数字锁定检测被设定为高电平。

在LDP设定为1时,5个连续周期中小于15ns的相位错误需要设定锁定检测。它将一直被置为高电平直到在后来的PD周期中检测出一个比25ns还要长的相位错误。

N沟道开环模拟锁定检测时要外接一个10K欧的上拉电阻。但锁定被检测出时,输出将为高电平并伴随很窄的低点平脉冲。

图 19 MUXOUT电路

输入转换寄存器

ADF4360系列数字部分包含一个24bit的输入转换寄存器,一个14bit的R计数器,和一个由5bitA计数器和一个13bitB计数器组成的18bitN计数器。数据在24bit转换寄存器的CLK信号上升沿被锁入其中。数据首先被存入MSB中。数据在LE的上升沿被转换寄存器转换为四个位置其中之一。而其最终位置决定于两个控制位C2和C1来决定。这些就是两个LSB,DB1和DB0。

这些位的情况由表5的真值表给出。表六给出的是这些电路是如何被编程的。附注测试模式写入是用于出厂测试的,所以用户不能对此部分编程。

表 5 C2和C1真值表

压控振荡器VCO

在ADF4360系列中VCO核心使用了8个交迭频带,如图20所示。在不需要很大的VCO

压控振荡器

压控振荡器 一.基本原理 信号的频率取决于输入信号电压的大小,因此称为“压控振荡器”。其它影响压控振荡器输出信号的参数还VCO(Voltage ControlledOscillator)(压控振荡器)是指输出信号的频率随着输入信号幅度的变化而发生相应变化的设备,它的工作原理可以通过公式(5-1)来描述。 (5-1) 其中,u(t)表示输入信号,y(t)表示输出信号。由于输入信号的频率取决与输入信号的电压的变化,因此称为“压控振荡器”。其他影响压控振荡器输出信号 的参数还有信号的幅度A c ,振荡频率f c ,输入信号灵敏度k c ,以及初始相位。 压控振荡器的特性用输出角频率ω0与输入控制电压uc之间的关系曲线(图1)来表示。图中,uc为零时的角频率ω0,0称为自由振荡角频率;曲线在ω0,0处的斜率K0称为控制灵敏度。使振荡器的工作状态或振荡回路的元件参数受输入控制电压的控制,就可构成一个压控振荡器。在通信或测量仪器中,输入控制电压是欲传输或欲测量的信号(调制信号)。人们通常把压控振荡器称为调频器,用以产生调频信号。在自动频率控制环路和锁相环环路中,输入控制电压是误差信号电压,压控振荡器是环路中的一个受控部件。 压控振荡器的类型有LC压控振荡器、RC压控振荡器和晶体压控振荡器。对压控振荡器的技术要求主要有:频率稳定度好,控制灵敏度高,调频范围宽,频偏与控制电压成线性关系并宜于集成等。晶体压控振荡器的频率稳定度高,但调频范围窄,RC压控振荡器的频率稳定度低而调频范围宽,LC 压控振荡器居二者之间。

在MATLAB中压控振荡器有两种:离散时间压控振荡器和连续时间压控振荡器,这两种压控振荡器的差别在于,前者对输入信号采用离散方式进行积分,而后者则采用连续积分。本书主要讨论连续时间压控振荡器。 为了理解压控振荡器输出信号的频率与输入信号幅度之间的关系,对公式(5-1)进行变换,取输出信号的相角Δ为 对输出信号的相角Δ求微分,得到输出信号的角频率ω和频率f分别为: ω=2πf c+2πk c u(t) (5-3) (5-4) 从式(5-4)中可以清楚地看到,压控振荡器输出信号的频率f与输入信号幅度u(t)成正比。当输入信号u(t)等于0时,输出信号的频率f等于f c;当输入信号u(t)大于0时,输出信号的频率f高于f c;当输入信号u(t)小于0时,输出信号的频率f低于f c。这样,通过改变输入信号的幅度大小就可以准确地控制输出信号的频率。 二.程序及结果分析 定义一个锯齿波信号,频率是20HZ,幅度范围在0V和1V之间。现在用此信号 =20HZ,输入信号作为压控振荡器的输入控制信号,该压控振荡器的振荡频率f c 灵敏度,初始相位。使用MATLAB求得输出的压控振荡信号。MATLAB 程序如下: %MATLAB实现压控振荡器 clear all; clc; t0=0.15;%定义压控信号持续时间 ts=0.0001;%定义信号采样率 fc=50;%定义振荡频率 t=[0:ts:t0];%时间矢量 u0=20*t(1:length(t)/3);%定义压控信号(单周期) u=[u0,u0,u0,0];%定义压控信号(3个周期) Ac=1;%定义振幅 kc=0.1;%定义输入信号灵敏度 fi=0;%定义初始相位 %对压控信号进行积分 u_int(1)=0;%定义压控信号积分初值 for i=1:length(u)-1%进行离散积分 u_int(i+1)=u(i)+u_int(i);

压控振荡器原理和应用说明

压控振荡器(VCO 一应用范围 用于各种发射机载波源、扩频通讯载波源或作为混频器本振源。 二基本工作原理 利用变容管结电容Cj 随反向偏置电压VT 变化而变化的特点(VT=OV 时Cj 是最大值,一 般变容管VT 落在2V-8V 压间,Cj 呈线性变化,VT 在8-10V 则一般为非线性变化,如图1 所示,VT 在10-20V 时,非线性十分明显),结合低噪声振荡电路设计制作成为振荡器,当 改变变容管的控制电压,振荡器振荡频率随之改变,这样的振荡器称作压控振荡器(VCO 。 压控振荡器的调谐电压 VT 要针对所要求的产品类别及典型应用环境(例如用户提供调谐要 求,在锁相环使用中泵源提供的输出控制电压范围等 )来选择或设计,不同的压控振荡器, 对调谐电压VT 有不同的要求,一般而言,对调谐线性有较高要求者, VT 选在1-10V ,对宽 频带调谐时,VT 则多选择1-20V 或1-24V 。图1为变容二极管的V — C 特性曲线。 图1变容二极管的V — C 特性曲线 三压控振荡器的基本参数 1工作频率:规定调谐电压范围内的频率范围称作工作频率,通常单位为“ MHZ 或 “GHz 。 2输出功率:在工作频段内输出功率标称值,用 Po 表示。通常单位为“ dBmW 。 3输出功率平稳度:指在输出振荡频率范围内,功率波动最大值,用△ P 表示,通常 单位为“ dBmW 。 4调谐灵敏度:定义为调谐电压每变化1V 时,引起振荡频率的变化量,用 MHz/ △ VT 表示,在线性区,灵敏度最咼,在非线性区灵敏度降低。 5谐波抑制:定义在测试频点,二次谐波抑制 =10Log (P 基波/P 谐波)(dBmw )。 6推频系数:定义为供电电压每变化1V 时,引起的测试频点振荡频率的变化量,用 MHz/V 表 示。 7相位噪声:可以表述为,由于寄生寄相引起的杂散噪声频谱,在偏移主振 f0为fm 的带内,各杂散能量的总和按fin 平均值+15f0点频谱能量之比,单位为dBC/Hz 相位噪 声特点是频谱能量集中在f0附近,因此fm 越小,相噪测量值就越大,目前测量相噪选定 WV) 0 8 10

高频压控振荡器设计

前言 (1) 1高频压控振荡器设计原理压控振荡器 (2) 1.1工作原理 (2) 1.2变容二极管压控振荡器的基本工作原理 (2) 2高频压控振荡器电路设计 (4) 2.1设计的资料及设备 (4) 2.2变容二极管压控振荡器电路的设计思路 (4) 2.3变容二极管压控振荡器的电路设计 (4) 2.4实验电路的基本参数 (5) 2.5实验电路原理图 (6) 3高频压控振荡器电路的仿真 (7) 3.1M ULTISIM软件简介 (7) 3.2M ULTISIM界面介绍 (8) 3.2.1电路仿真图 (9) 3.2.2压控振荡器的主要技术指标 (9) 3.3典型点的频谱图 (9) 4高频压控振荡器电路实现与分析 (16) 4.1实验电路连接 (16) 4.2实验步骤 (16) 4.3实验注意事项 (18) 4.4硬件测试 (19) 5心得体会 (21) 参考文献 (22)

压控振荡器广泛应用于通信系统和其他电子系统中,在LC振荡器决定振荡器的LC 回路中,使用电压控制电容器(变容管),可以在一定的频率范围内构成电调谐振荡器。这种包含有压控元件作为频率控制器件的振荡器就称为压控振荡器。它广泛应用与频率调制器、锁相环路以及无线电发射机和接收机中。 压控振荡器是锁相环频率合成器的重要组成单元,在很大程度上决定了锁相环的性能。在多种射频工艺中,COMS工艺以高集成度、低成本得到广泛的应用。 压控振荡器(VCO)在无线系统和其他必须在一个范围的频率内进行调谐的通信系统中是十分常见的组成部分。许多厂商都提供VCO产品,他们的封装形式和性能水平也是多种多样。现代表面的贴装的射频集成电路(RFIC)VCO继承了近百来工程研究成果。在这段历史当中。VCO技术一直在不断地改进中,产品外形越来越小而相位噪声和调谐线性度越来越好。 对压控振荡器的技术要求主要有:频率稳定度好,控制灵敏度高,调频范围宽,频偏与控制电压成线性关系并宜于集成等。晶体压控振荡器的频率稳定度高,但调频范围窄;RC压控振荡器的频率稳定度低而调频范围宽,LC压控振荡器居二者之间。 压控振荡器可分为环路振荡器和LC振荡器。环路振荡器易于集成,但其相位噪声性能比LC振荡器差。为了使相位噪声满足通信标准的要求,这里对负阻RC压控振荡器进行了分析。

集成电路(锁相环)构成的频率解调器_集成电路(压控振荡器)构成的频率调制器

实验十 集成电路(压控振荡器)构成的频率调制器 一、实验目的 1.进一步了解压控振荡器和用它构成频率调制的原理 2.掌握集成电路频率调制器的工作原理。 二、预习要求 1.查阅有关集成电路压控振荡器资料。 2.认真阅读指导书,了解566(VOC 的单片集成电路)的内部电路及原理。 3.高清566外接元件的作用。 三、实验仪器设备 1.双踪示波器 2.频率计 3.万用表 4.电容表 5.实验板G5 四、实验电路说明 图9-1为566型单片集成VCO 的框图及管脚排列 图9-1中幅度鉴别器,其正向触发电平定义为Vsp ,反向触发电平定义为VSM ,当电容C 充电使其电压V7(566管脚⑦对地的电压)上升至VSP ,此时幅度鉴别器翻转,输出为高电平,从而使内部的控制电压形成电路的输出电压,该电压Vo 为高电平;当电容C 放电时,其电压V7下降,降至VSM 时高度鉴别器再次翻转,输出为低电平而使Vo 也变为低电平,用Vo 的高、低电平控制S1和S2两开关的闭合与断开。Vo 为低电平时S1闭合,S2断开,这时I6=I7=0,Io 全部给电容C 充电,使V7上升,由于Io 为恒流源,V7线性斜升,升至VSP 时,Vo 跳变高电平,Vo 高电平时控制S2闭合,S1断开,恒流源Io 全部流入A 支路,即I6=Io ,由于电流转发器的特性,B 支路电流I7应等于I6,所以I7=Io ,该电流由C 放电电流提供,因此V7线性斜降,V7降至VSM 时Vo 跳变为低电平,如此周而复始循环下去,I7及Vo 波形如图9-2。 图9-1 图9-2

566输出的方波及三角波的载波频率(或称中心频率)可用外加电阻R 和外加电容C 来确定。 )(858Hz V C R V V f ??-= 其中:R 为时基电阻 C 为时基电容 V8是566管脚⑧至地的电压 V5是566管脚⑤至地的电压 五、实验内容及步骤 实验电路见图9-3 图9-3 566构成的调频器 图9-4 输入信号电路 1.按图接线,观察R 、C1对频率的影响(其中R=R3+RP1)。 ① 将C1接入566管脚⑦,Rp2及C2接至566管脚⑤;接通电源(±5V )。 ② 调Rp2使V5=3.5V ,将频率计接至566管脚③,改变RP1观察方波输出信号频率,记录当R 为最大和最小值时的输出频率。当R 分别为Rmax 和Rmin 及C1=2200时,计算这二种情况下的频率,并与实际测量值进行比较。用双踪示波器观察并记录R=Rmin 时方波及三角波的输出波形。 当R 最小时,理论值)(8 58max Hz V C R V V f ??-= =45.45KHz 测量值KHz V C R V V f 608.388 58max =??-= 当R 最大时,理论值KHz V C R V V f 09.348 58min =??-= 测量值KHz V C R V V f 368.29858min =??-= 误差分析:实验室中有的器件老化了,接线柱上两个距离近的接口用了一根很长的导线等,都会导致精确度不高,还有测量时电压不稳定,也会导致测量时候数据的不准确。 2.观察输入电压对输出频率的影响 ①直流电压控制:先调RP1至最大,然后改变RP2调整输入电压,测当V5在2.2V~4.2V 变化时输出频率f 的变化,V5按0.2V 递增。将测得的结果填入表9.1。 表9.1 V5(V ) 2.2 2.4 2.6 2.8 3.0 3.2 3.4 3.6 3.8 4.0 4.2 f (KHz ) 60.1 57.0 53.1 48.7 43.5 37.4 30.8 24.1 17.5 10.8 4.0

lc压控振荡器实验报告doc

lc压控振荡器实验报告 篇一:实验2 振荡器实验 实验二振荡器 (A)三点式正弦波振荡器 一、实验目的 1. 掌握三点式正弦波振荡器电路的基本原理,起振条件,振荡电路设计及电路参数计算。 2. 通过实验掌握晶体管静态工作点、反馈系数大小、负载变化对起振和振荡幅度的影响。 3. 研究外界条件(温度、电源电压、负载变化)对振荡器频率稳定度的影响。 二、实验内容 1. 熟悉振荡器模块各元件及其作用。 2. 进行LC振荡器波段工作研究。 3. 研究LC振荡器中静态工作点、反馈系数以及负载对振荡器的影响。 4. 测试LC振荡器的频率稳定度。 三、基本原理 图6-1 正弦波振荡器(4.5MHz) 【电路连接】将开关S2的1拨上2拨下, S1全部断开,由晶体管Q3和C13、C20、C10、CCI、L2构成电容反馈三点式振荡器的改进型振荡器——西勒振荡器,电容CCI可用来改变振 荡频率。振荡频率可调范围为:

?3.9799?M??f0??? ? ?4.7079?M? CCI?25p CCI? 5p 调节电容CCI,使振荡器的频率约为4.5MHz 。振荡电路反馈系数: F= C1356 ??0.12 C20470 振荡器输出通过耦合电容C3(10P)加到由Q2组成的射极跟随器的输入端,因C3容量很小,再加上射随器的输入阻抗很高,可以减小负载对振荡器的影响。射随器输出信号Q1调谐放大,再经变压器耦合从J1输出。 四、实验步骤 根据图6-1在实验板上找到振荡器各零件的位置并熟悉各元件的作用。 1. 调整静态工作点,观察振荡情况。 1)将开关S2全拨下,S1全拨下,使振荡电路停振 调节上偏置电位器RA1,用数字万用表测量R10两端的静态直流电压UEQ(即测量振荡管的发射极对地电压UEQ),使其为5.0V(或稍小,以振荡信号不失真为准),这时表明振荡管的静态工作点电流IEQ=5.0mA(即调节W1使

晶体振荡器与压控振荡器

晶体振荡器与压控振荡器 一、实验目的: 1.掌握高频电子电路的基本设计能力及基本调试能力,并在此基础上设计并联变换的晶体正弦波振荡器。 2.比较LC振荡器和晶体振荡器的频率稳定度。 二、实验内容: 1.熟悉振荡器模块各元件及其作用。 2.分析与比较LC振荡器与晶体振荡器的频率稳定度。 3.改变变容二极管的偏置电压,观察振荡器输出频率的变化。 三、基本原理: 1.下图是石英晶体谐振器的等效电路: 图中C0是晶体作为电介质的静电容,其数值一般为几个皮法到几十皮法。L q、C q、r q是对应于机械共振经压电转换而呈现的电参数。r q是机械摩擦和空气阻尼引起的损耗。由图3-1可以看出,晶体振荡器是一串并联的振荡回路,其串联谐振频率f q和并联谐振频率f0分别为 f q=1/2πLqCq,f0= f q Co 1 Cq/ 图1 晶体振荡器的等效电路 当W<W q或W> W o时,晶体谐振器显容性;当W在W q和W o之间,晶体谐振器等效为一电感,而且为一数值巨大的非线性电感。由于Lq很大,即使在W q处其电抗变化率也很大。其电抗特性曲线如图所示。实际应用中晶体工作于W q~W o之间的频率,因而呈现感性。

图2 晶体的电抗特性曲线 设计内容及要求 2 并联型晶体振荡器 图3 c-b型并联晶体振荡器电路 图 4 皮尔斯原理电路图 5 交流等效电路

C3用来微调电路的振荡频率,使其工作在石英谐振器的标称频率上,C1、C2、C3串联组成石英晶体谐振器的负载电容C L上,其值为 C L=C1C2C3/(C1C2+C2C3+C1C3) C q/ (C0+C L)<<1 3.电路的选择: 晶体振荡电路中,与一般LC振荡器的振荡原理相同,只是把晶体置于反馈网络的振荡电路之中,作为一感性元件,与其他回路元件一起按照三端电路的基本准则组成三端振荡器。根据实际常用的两种类型,电感三点式和电容三点式。由于石英晶体存在感性和容性之分,且在感性容性之间有一条极陡峭的感抗曲线,而振荡器又被限定在此频率范围内工作。该电抗曲线对频率有极大的变化速度,亦即石英晶体在这频率范围内具有极陡峭的相频特性曲线。所以它具有很高的稳频能力,或者说具有很高的电感补偿能力。因此选用c-b型皮尔斯电路进行制作。 图 6 工作电路 4.选择晶体管和石英晶体 根据设计要求,

压控振荡器原理和应用说明

压控振荡器(VCO) 一应用范围 用于各种发射机载波源、扩频通讯载波源或作为混频器本振源。 二基本工作原理 利用变容管结电容Cj随反向偏置电压VT变化而变化的特点(VT=0V时Cj是最大值,一般变容管VT落在2V-8V压间,Cj呈线性变化,VT在8-10V则一般为非线性变化,如图1所示,VT在10-20V时,非线性十分明显),结合低噪声振荡电路设计制作成为振荡器,当改变变容管的控制电压,振荡器振荡频率随之改变,这样的振荡器称作压控振荡器(VCO)。压控振荡器的调谐电压VT要针对所要求的产品类别及典型应用环境(例如用户提供调谐要求,在锁相环使用中泵源提供的输出控制电压范围等)来选择或设计,不同的压控振荡器,对调谐电压VT有不同的要求,一般而言,对调谐线性有较高要求者,VT选在1-10V,对宽频带调谐时,VT则多选择1-20V或1-24V。图1为变容二极管的V-C特性曲线。 (V) T 图1变容二极管的V-C特性曲线 三压控振荡器的基本参数 1 工作频率:规定调谐电压范围内的频率范围称作工作频率,通常单位为“MHz”或 “GHz”。 2 输出功率:在工作频段内输出功率标称值,用Po表示。通常单位为“dBmw”。 3 输出功率平稳度:指在输出振荡频率范围内,功率波动最大值,用△P表示,通常 单位为“dBmw”。 4 调谐灵敏度:定义为调谐电压每变化1V时,引起振荡频率的变化量,用MHz/ △VT 表示,在线性区,灵敏度最高,在非线性区灵敏度降低。 5 谐波抑制:定义在测试频点,二次谐波抑制=10Log(P基波/P谐波)(dBmw)。 6 推频系数:定义为供电电压每变化1V时,引起的测试频点振荡频率的变化量,用MHz/V表示。 7 相位噪声:可以表述为,由于寄生寄相引起的杂散噪声频谱,在偏移主振f0为fm 的带内,各杂散能量的总和按fin平均值+15f0点频谱能量之比,单位为dBC/Hz;相位噪 声特点是频谱能量集中在f0附近,因此fm越小,相噪测量值就越大,目前测量相噪选定

压控LC电容三点式振荡器设计及仿真

实验二压控LC 电容三点式振荡器设计及仿真 一、实验目的 1、了解和掌握LC 电容三点式振荡器电路组成和工作原理。 2、了解和掌握压控振荡器电路原理。 3、理解电路元件参数对性能指标的影响。 4、熟悉电路分析软件的使用。 二、实验准备 1、学习LC 电容三点式西勒振荡器电路组成和工作原理。 2、学习压控振荡器的工作原理。 3、认真学习附录相关内容,熟悉电路分析软件的基本使用方法。 三、设计要求及主要指标 1、采用电容三点式西勒振荡回路,实现振荡器正常起振,平稳振荡。 2、实现电压控制振荡器频率变化。 3、分析静态工作点,振荡回路各参数影响,变容二极管参数。 4、振荡频率范围:50MHz~70MHz,控制电压范围3~10V。 5、三极管选用MPSH10(特征频率最小为650MHz,最大IC 电流50mA,可 满足频率范围要求),直流电压源12V,变容二极管选用MV209。 四、设计步骤 1、整体电路的设计框图

整个设计分三个部分,主体为LC 振荡电路,在此电路基础上添加压控部分,设计中采用变容二极管MV209 来控制振荡器频率,由于负载会对振荡电路的 频 率产生影响,所以需要添加缓冲器隔离以使振荡电路不受负载影响。 2、LC 振荡器设计 首先应选取满足设计要求的放大管,本设计中采用MPSH10 三极管,其特征频率f T=1000MHz。LC 振荡器的连接方式有很多,但其原理基本一致,本实验中采用电容三点式西勒振荡电路的连接方式,该振荡电路在克拉泼振荡电路的基础上进行了细微的改良,增加了一个与电感L 并联的电容,主要利用其改变频率而不对振荡回路的分压比产生影响的特点。电路图如下所示:

压控振荡器的设计与仿真.

目录 1 引言 (2) 2 振荡器的原理 (5) 2.1 振荡器的功能、分类与参数 (5) 2.2 起振条件 (9) 2.3 压控振荡器的数学模型 (10) 3 利用ADS仿真与分析 (11) 3.1 偏置电路的的设计 (12) 3.2 可变电容VC特性曲线测试 (13) 3.3 压控振荡器的设计 (15) 3.4 压控振荡器相位噪声分析 (18) 3.5 VCO振荡频率线性度分析 (23) 4 结论 (24) 致谢 (25) 参考文献 (25)

压控振荡器的设计与仿真 Advanced Design System客户端软件设计 电子信息工程(非师范类)专业 指导教师 摘要:ADS可以进行时域电路仿真,频域电路仿真以及数字信号处理仿真设计,并可对设计结果进行成品率分析与优化,大大提高了复杂电路的设计效率。本论文运用ADS仿真软件对压控振荡器进行仿真设计,设计出满足设计目标的系统,具有良好的输出功率,相位噪声性能及震荡频谱线性度。本论文从器件选型开始,通过ADS软件仿真完成了有源器件选型,带通滤波器选型,振荡器拓扑结构确定,可变电容VC特性曲线,瞬态仿真及谐波平衡仿真。实现了准确可行的射频压控振荡器的计算机辅助设计。关键字:压控振荡器,谐波平衡仿真,ADS 1 引言 振荡器自其诞生以来就一直在通信、电子、航海航空航天及医学等领域扮演重要的角色,具有广泛的用途。在无线电技术发展的初期,它就在发射机中用来产生高频载波电压,在超外差接收机中用作本机振荡器,成为发射和接收设备的基本部件。随着电子技术的迅速发展,振荡器的用途也越来越广泛,例如在无线电测量仪器中,它产生各种频段的正弦信号电压:在热加工、热处理、超声波加工和某些医疗设备中,它产生大功率的高频电能对负载加热;某些电气设备用振荡器做成的无触点开关进行控制;电子钟和电子手表中采用频率稳定度很高的振荡电路作为定时部件等。尤其在通信系统电路中,压控振荡器(VCO)是其关键部件,特别是在锁相环电路、时钟恢复电路和频率综合器电路等更是重中之重,可以毫不夸张地说在电子通信技术领域,VCO几乎与电流源和运放具有同等重要地位。 人们对振荡器的研究未曾停止过。从早期的真空管时代当后期的晶体管时代,无论是理论上还是电路结构和性能上,无论是体积上还是制作成本上无疑都取得了飞跃性的

压控振荡器

压控振荡器 指输出频率与输?入控制电压有对应关系的振荡电路(VCO),频率是输?入信号电压的函数的振荡器VCO,振荡器的?工作状态或振荡回路的元件参数受输?入控制电压的控制,就可构成?一个压控振荡器。 voltage-controlled oscillator LC压控振荡器、RC压控振荡器 1. 简介 压控振荡器的控制特性 其特性?用输出?角频率ω0与输?入控制电压uc之间的关系曲线(图1)来表?示。图中,uc为零时的?角频率ω0,0称为?自由振荡?角频率;曲线在ω0,0处的斜率K0称为控制灵敏度。在通信或测量仪器中,输?入控制电压是欲传输或欲测量的信号(调制信号)。?人们通常把压控振荡器称为调频器,?用以产?生调频信号。在?自动频率控制环路和锁相环环路中,输?入控制电压是误差信号电压,压控振荡器是环路中的?一个受控部件。 压控振荡器的类型有LC压控振荡器、RC压控振荡器和晶体压控振荡器。对压控振荡器的技术要求主要有:频率稳定度好、控制灵敏度?高、调频范围宽、频偏与控制电压成线性关系并宜于集成等。晶体压控振荡器的频率稳定度?高,但调频范围窄;RC压控振荡器的频率稳定度低?而调频范围宽,LC压控振荡器居?二者之间。 LC压控型 在任何?一种LC振荡器中,将压控可变电抗元件插?入振荡回路就可形成LC压控振荡器。早期的压控可变电抗元件是电抗管,后来?大都使?用变容?二极管。图 2是克拉泼型LC压控振荡器的原理电路。图中,T为晶体管,L为回路电感,C1、C2、Cv为回路电容,Cv为变容?二极管反向偏置时呈现出的容量;C1、C2通常?比Cv ?大得多。当输?入控制电压uc改变时,Cv随之变化,因?而改变振荡频率。这种压控振荡器的输出频率与输?入控制电压之间的关系为 VCO输出频率与控制电压关系 式中C0是零反向偏压时变容?二极管的电容量;φ是变容?二极管的结电压;γ是结电容变化指数。为了得到线性控制特性,可以采取各种补偿措施。 RC压控振荡器 在单?片集成电路中常?用RC压控多谐振荡器(?见调频器)。

压控振荡器

压控振荡器 3(15 压控振荡器 一. 实验目的 1. 了解压控振荡器的组成、工作原理。 2. 进一步掌握三角波、方波与压控振荡器之间的关系。 3. 掌握压控振荡器的基本参数指标及测试方法。 二. 设计原理 电压控制振荡器简称为压控振荡器,通常由VCO(Voltage Controlled Oscillator)表示。是一种将电平变换为相应频率的脉冲变换电路,或者说是输出脉冲频率与输入信号电平成比例的电路。它被广泛地应用在自动控制,自动测量与检测等技术领域。 压控振荡器的控制电压可以有不同的输入方式。如用直流电压作为控制电压,电路可制成频率调节十分方便的信号源;用正弦电压作为控制电压,电路就成为调频振荡器;而用锯齿电压作为控制电压,电路将成为扫频振荡器。 压控振荡器由控制部分、方波、三角波发生器组成框图如下: 反相器 1 模拟方波、三角波发生器三角波方波开关 反相器 2 3-15-1 1. 方波、三角波发生器 我们知道,方波的产生有很多种方法,而用运算放大器的非线性应用电路--- 电压比较器是一种产生方波的最简单的电路之一。而三角波可以通过方波信号积

分得到。电路如图3.15.2所示: C 8 RR3A1 A2 R2 R1R’Uz 3-15-2 8 设t=0,Uc=0,Uo1=+Uz,则Uo=-Uc=0,运放A1的同相端对地电压为: URURo2z1U+’= ,R,RR,R1212 此时,Uo1通过R向C恒流充电,Uc线性上升,Uo线性下降,则U+’下降,由于运放反相端接地,因此当U+’下降略小于0时,A1翻转,Uo1跳变为-Uz 见土 3.7.2中t=t1时的波形。根据式3.7.1可知,此时Uo略小于-R1×U2/R2。 在t=t1时,Uc=-Uo=R1×U2/R2,Uo1=-Uz.运放A1的同相端对地电压为: UzRUoR12U,',,, R,RR,R1212 此时,电容C恒流放电,Uc线性下降,Uo线性上升,则U+’也上升。当U+’上升到略大于0时,A1翻转,Uo跳变为Uz,如此周而复始,就可在Uo端输出幅 度为R1×U2/R2的三角波。同时在Uo1端得到幅度为Uz的方波。 T/2T/2 tt12 +(R/R)U12z

时基电路构成的压控振荡器

555时基电路构成的压控振荡器 摘要:555电路是集模拟电路和数字电路于一体的集成电路,是在上世纪70年代,为制作定时器而被设计制造的。该电路具有灵活的引出端脚,使用者尽用其能,将其广泛运用于电子行业的各个领域内,并且该电路在科研、仪表、测量、控制等诸多领域内也得到了广泛的应用。本文主要从原理和应用两个方面讲述由555无稳态多谐振荡器电路构成的压控振荡器。 关键词: 1、引言 如今,555时基电路得到如此广泛的应用,这得益于该电路本身独特的优越性。按照555电路的应用特点,以数字电路的分类方法作为基本方式,可将其分为:多谐振荡器的应用方式、单稳态电路的应用方式、双稳态(R-S触发器)电路的应用方式以及施密特电路的应用方式。本文要讨论的压控振荡器是一种结构特殊的多谐振荡器,全称为电压控制的多谐振荡器,简称VCO。由555电路构成的压控振荡器具有电路简单、成本低、产生脉冲波形的线性度好等特点,因此压控振荡器电路在锁相技术、A/D转换、脉冲调制及遥测技术中有广泛的用途,是一种十分重要的电路。. 2、555电路原理图]1[ 图1、原理电路图

整个原理电路图有5个部分组成,这5个部分可以分为三大部分进行解释:(1)分压器与比较器 三个等值电阻(每个5KΩ)串联进行分压,将电源电压分别分压为U CC/3和2U CC/3。其中2U CC/3加至电压比较器A1的同相输入端,作为它的参考电压;U CC/加之电压比较器A2的反相输入端,作为它的参考电压。A1、A2是由两个差分电路组成的电压比较器,相当于两个运算放大器的输入电路。这两个参考电压决定了555电路的输入特性。 上述原理电路图有两个输入端,分别称为触发端(TR、2脚)和阀值端(TH、6脚),它们分别是A2的同相输入端和A1的反相输入端。根据电压比较器的工作原理:当对输入端2脚上加上低于U CC/3的输入电压时,比较器A2输出低电平;当加上高于U CC/3的输入电压时,A2输出高电平。对于输入端6脚,当对其加上低于2U CC/3的输入电压时,A1输出高电平;当对其加上高于2U CC/3的输入电压时,A1输出低电平。 (2)基本R-S触发器]1[ 在数字电路中,触发器分为同步R-S触发器和基本R-S触发器,555电路中使用 是基本R-S触发器。这种触发器由两个非门交叉连接组成,它的特点是需要低电平触发,即只有在输入端加以低电平或负脉冲,触发器才能翻转。 它的逻辑功能是:当R=0,S=1时,不管触发器原来是什么状态,都会被置成低电平0的状态;当R=1,S=0时,触发器被置成高电平1的状态;当R=1,S=1时,触发器保持原状态不变;当R=0,S=0时,触发器的状态不定,不过这种状态是不允许出现的,也是不可能出现的。 (3)输出级]2[ 为了提高555电路带负载的能力,使其能够直接驱动一定功率的负载,并且隔离负载对定时器的影响,在它的R-S触发器之后加入了一级输出级G3。该输出级G3将R-S 触发器的输出电平进行反相,并同时给予一定的功率放大后输出,这就使得555电路可以直接驱动小型继电器、扬声器等。 (4)放电电子开关]3[ 在由555电路组成的定时定路及各类触发器和振荡器中,它们的工作状态都和电容器的充、放电有关。例如在定时电路中,通常把上比较器的输入端TH(6脚)接到只电容C的正极。这个电容又通过一只串联电阻R接到电源的正极。工作时,电源通过电阻R向电容C充电,当电容充电使其电压达到阀值电平后,比较器A1输出低电平,触发器R-S翻转,它的输出端变为高电平,经过一级反相器反相为低电平后作为一种控制信号输出,实现对电路的一种工作状态的控制。 ( 5 ) 555定时器的基本功能]4[ ①R=0,无论其他输入为何值(用×表示),必有Q=1,U O为低电平0,T D饱和导通,故R端称为置0端或复位端。 ②R=1,U TH>2U CC/3、U TR>U CC/3时,U O1为低电平,U O2为高电平,使Q=1、

压控振荡器

摘要 压控振荡器作为无线收发机的重要模块,它不仅为收发机提供稳定的本振信号,还可以倍频产生整个电路所需的时钟信号。它的相位噪声、调节范围、调节灵敏度对无线收发机的性能有很大影响。 文章首先介绍了振荡器的两种基本理论:负反馈理论和负阻振荡理论。分别从起振、平衡、稳定三个方面讨论了振荡器工作所要满足的条件,并对这些条件以公式的形式加以描述。 接着介绍了两种类型的压控振荡器:环形振荡器和LC振荡器。对这两种振荡器的结构、噪声性能和电源的敏感性方面做出了分析和比较,通过分析可以看出LC压控振荡器更加适合于应用在射频领域。紧接着介绍了CMOS工艺可变电容和电感的物理模型,以及从时变和非时变两个方面对相位噪声进行了分析。 最后本文采用csm25Rf工艺并使用Cadence SpectreRF仿真器进行仿真分析,设计了一个COMS LC压控振荡器,频率变化范围为2.34GHz-2.49GHz,振荡的中心频2.4GHz,输出振幅为 480mV,相噪声为100kHz 频率偏移下-91.44dBc/Hz ,1MHz频率偏移下-116.7dBc/Hz, 2.5V电源电压下功耗为18mW。 关键词:LC压控振荡器;片上螺旋电感;可变电容;相位噪声,调谐范围。

ABSTRACT V oltage-control-oscillator is the crucial components of wireless transceiver , it provides local signal and clock for the whole circuit, its performance parameter, such as: phase noise, tuning range, power consumption, have great effect on wireless transceivers. Firstly, two oscillator theorems: negative-feedback theorem and negative-resistance theorem , are presented and the conditions of startup, equilibrium, stabilization required for oscillator are discussed respectively. Secondly , we introduce two types of VCO : ring VCO and LC VCO ,and made a comparison between them , it is obvious that LC VCO are suit for RF application. The physical model for MOS varactor and planar spiral inductor are present. At last, a COMS LC VCO with csm25rf technology is presented , the VCO operates at 2.34GHz to 2.49 GHz, and its oscillation frequency is 2.4GHz. The amplitude is 480 mV. The phase noise at 100 kHz offset is –91.48dBc/Hz, and -116.7dBc/Hz at 1MHz. The power consumption of the core is 18mW with 2.5V power supply. Key Words:LC VCO;on-chip spiral inductor;MOS-varactor;phase noise;turning range.

压控振荡器(VCO)工作原理

3.15压控振荡器 一.实验目的 1.了解压控振荡器的组成、工作原理。 2.进一步掌握三角波、方波与压控振荡器之间的关系。 3.掌握压控振荡器的基本参数指标及测试方法。 二.设计原理 电压控制振荡器简称为压控振荡器,通常由VCO(V oltage Controlled Oscillator)表示。是一种将电平变换为相应频率的脉冲变换电路,或者说是输出脉冲频率与输入信号电平成比例的电路。它被广泛地应用在自动控制,自动测量与检测等技术领域。 压控振荡器的控制电压可以有不同的输入方式。如用直流电压作为控制电压,电路可制成频率调节十分方便的信号源;用正弦电压作为控制电压,电路就成为调频振荡器;而用锯齿电压作为控制电压,电路将成为扫频振荡器。 压控振荡器由控制部分、方波、三角波发生器组成框图如下: 反相器 1 反相器 2模 拟 开 关 方波、三角波发生器三角波方波 3-15-1 1.方波、三角波发生器 我们知道,方波的产生有很多种方法,而用运算放大器的非线性应用电路---电压比较器是一种产生方波的最简单的电路之一。而三角波可以通过方波信号积分得到。电路如图3.15.2所示: C 3-15-2

设t=0,Uc=0,Uo 1=+Uz,则Uo=-Uc=0,运放A 1的同相端对地电压为:U+’= 2 12211 R R R U R R R U o z +++ 此时,Uo 1通过R 向C 恒流充电,Uc 线性上升,Uo 线性下降,则U+’下降, 由于运放反相端接地,因此当U+’下降略小于0时,A 1翻转,Uo1跳变为-Uz 见土3.7.2中t=t 1时的波形。根据式3.7.1可知,此时Uo 略小于-R 1×U 2/R 2。 在t=t 1时,Uc=-Uo=R 1×U 2/R 2,Uo1=-Uz.运放A 1的同相端对地电压为: 2 12 211'R R UoR R R UzR U ++ ++ =+ 此时,电容C 恒流放电,Uc 线性下降,Uo 线性上升,则U+’也上升。当U+’ 上升到略大于0时,A 1翻转,Uo 跳变为Uz ,如此周而复始,就可在Uo 端输出幅度为R 1×U 2/R 2的三角波。同时在Uo 1端得到幅度为Uz 的方波。

压控振荡器

宝鸡文理学院高频电子课程设计 学校: 宝鸡文理学院 系别:电子电气工程系 专业: 电子信息工程 姓名:白阳 年级:2008级 学号:200895024026 班级:电子信息工程(1)班

课程题目:压控振荡器的研究 设计要求:(1)分析压控振荡器的定义、工作原理以及特点。 (2)由于压控振荡器一般分为两种:LC压控振荡器和晶体压控振荡器,分析两种不同振荡器的工作原理及电路分析。 (3)结合实际谈谈两种压控振荡器的主要应用范围及作用。 格式要求:(1)图片和表格应标记序号,且有相应的注释。 (2)一级标题为小二字体,二级标题为三号字体,都为黑体,正文为小四号宋体,且都为宋体,设置1.5倍行距。 一,压控振荡器。 压控振荡器简介: 压控振荡器,简称VCO(voltage-controlled oscillato r),指输出频率与输入控制电压有对应关系的振荡电路,其特性用输出角频率ω0与输入控制电压uc之间的关系曲线来表示,如下图所示,曲线在ω0,0处的斜率K0称为控制灵敏度。使振荡器的工作状态或振荡回路的元件参数受输入控制电压的控制,就可构成一个压控振荡器。在通信或测量仪器中,输入控制电压是欲传输或欲测量的信号(调制信号)。人们通常把压控振荡器称为调频器,用以产生调频信号。在自动频率控制环路和锁相环环路中,输入控制电压是误差信号电压,压控振荡器是环路中的一个受控部件。

图(1)压控振荡器的控制特性 压控振荡器的控制电压可以有不同的输入方式,如让直流电压作为控制电压,电路可制成频率调节十分方便的信号源,用正弦电压作为控制电压,电路就成为调频振荡器,而用锯齿电压作为控制电压,电路将成为扫频振荡器。压控振荡器由控制部分、方波、三角波发生器组成框图如下: 反相器 1 反相器 2 模 拟 开 关 方波、三角波发生器三角波方波 3-15-1 图(2)控制部分、方波、三角波发生器组成框图 变容二极管压控振荡器的基本工作原理 在振荡器的振荡回路上并接或串接某一受电压控制的电抗元件后,即可对振荡频率实行控制。受控电抗元件常用变容二极管取代。

压控振荡器原理

压控振荡器工作原理及应用 指输出频率与输入控制电压有对应关系的振荡电路,常以符号(VCO)(Voltage Controlled Oscillator)。 其特性用输出角频率ω0与输入控制电压uc之间的关系曲线(图1)来表示。图1中,uc为零时的角频率ω0,0称为自由振荡角频率;曲线在ω0,0处的斜率K0称为控制灵敏度。使振荡器的工作状态或振荡回路的元件参数受输入控制电压的控制,就可构成一个压控振荡器。在通信或测量仪器中,输入控制电压是欲传输或欲测量的信号(调制信号)。人们通常把压控振荡器称为调频器,用以产生调频信号。在自动频率控制环路和锁相环环路中,输入控制电压是误差信号电压,压控振荡器是环路中的一个受控部件。 图1 压控震荡器的控制特性 在电子设备中,压控振荡器的应用极为广泛,如彩色电视接收机高

频头中的本机振荡电路、各种自动频率控制(AFC)系统中的振荡电路、锁相环路(PLL)中所用的振荡电路等均为压控振荡器。振荡器输出的波形有正弦型的,也有方波型的。 变容二极管压控振荡器的基本工作原理 在振荡器的振荡回路上并接或串接某一受电压控制的电抗元件后,即可对振荡频率实行控制。受控电抗元件常用变容二极管取代。 图2 变容二极管的电容量Cj取决于外加控制电压的大小,控制电压的变化会使变容管的Cj变化,Cj的变化会导致振荡频率的改变。 对于图中,若C1、C2值较大,C4又是隔直电容,容量很大,则振荡回路中与L相并联的总电容为: 变容管是利用半导体PN结的结电容受控于外加反向电压的特性而制成的一种晶体二极管,它属于电压控制的可变电抗器件,其压控特性的典型曲线如图所示。图中,反向偏压从3V增大到30V时,结电

集成电路(压控振荡器_锁相环)组成的频率调制器与解调器

集成电路(压控振荡器,锁相环)组成的频率 调制器与解调器

实验项目名称集成电路(压控振荡器,锁相环)组成的频率调制器与解调器 实验项目目的1.了解压控振荡器和他构成的频率调制的原理; 2.掌握集成电路LM566构成的频率调制器的工作原理;3.了解锁相环的原理和他构成频率解调器的原理;4.掌握集成电路LM565构成频率解调器的工作原理。 实验项目简介高频电子线路课程的实践性很强,结合本专业学生特点,在高频电路实验的学习和研究过程中,学习了用模拟乘法器实现幅度调制和解调,由于课时限制没有学习频率调制和解调。利用开放实验用压控振荡器和锁相环组成调制和解调器,可以让学生进一步学习调制和解调的方法,可以扩展知识面和动手能力。此实验用压控振荡器组成频率调制器,用锁相环组成频率解调器,主要有以下6项内容 : 1.观察测量压控振荡器时基电阻R和时基电容C对频率的影响;2.观察测量输入电压对输出频率的影响(直流调制特性);3.用压控振荡器组成FM频率调制器;4. 用压控振荡器组成FSK频率调制器;5. 用锁相环组成频率解调器解调FM信号;6.用锁相环组成频率解调器解调FSK信号。使学生掌握高频电子线路的设计、调整和测试技能。 适用专业物理和电信专业 开设时间建议每学年的第一二学期 对学生专业知 识与技能要求 已经修过模拟电子线路、高频电子线路的电信、物理专业的学生实验计划课时 6 二.实验原理与内容:

(一)集成电路566(压控振荡器)组成的频率调制器 1.LM566简介 LM566简介是一种积分-施密特触发电路型的单片集成Vco 电路,其管脚排列如图1-1所示。其中8脚接正电源,1脚接负电源(或地),2脚悬空,3脚输出方波,4脚输出三角波,5脚接输入电压,6脚接定时电阻RT ,7脚接定时电容CT 。LM566的内部框图如图1-2所示。图中的幅度鉴别器实为施密特触发器,其正向触发电平为Vsp,反向触发电平为Vsm 。当电容 CT 充电时,开关S1接通、S2断开,从而Vcc 经由RT 、恒流源I 0对CT 形成恒流的充电回路。电容CT 上电压V7线形上升,控制电压形成电路输出V0为低电平。当V7电压达到Vsp 时,幅度鉴别器翻转,使控制电压形成电路输出V0转换为高电平,引起开关S1断开,S2接通,从而恒流源I0全部流入A 支路,既I6=I0。由于电流转发器的特点,使得I7=I6,因而I7=I0,该电流由CT 提供。于是电容CT 经由电流转发器而放电,V7线形下降,V0保持高电平不变。当V7达到Vsm 时,又引起幅度鉴别器翻转,使V0转换为低电平,引起开关S1接通,S2断开,重新对CT 充电,如此 周而复始。I7及V0波形如图1-3所示。 图1-1 566管脚排列 图1-2 566框图 由于电压控制输出频率,因而其本质就是一个 调频器。输入调制信号可加在5脚上,只是566 输出的是调频方波或调频三角波(载波不是正弦 波)而已。改变定时元件RT 、CT 可改变载波频率, (或称中心频率),其表达式为: 858 2()()T T V V f Hz R C V -=?? RT 、CT 为时基电阻和电容,V5、V8为566管 脚5、8的对地电压。 2.LM566组成的频率调制器 LM566组成的频率调制器实验电路如图1-4所 示。图中采用了+5V 和-5V 两路直流电源,分别 接到8脚和1脚上。C1定时电容,R3和Rp1一起 组成了定时电阻,调节Rp1可改变定时电阻的数值。 图1-3 波形图

相关主题
文本预览
相关文档 最新文档