当前位置:文档之家› 6.1版本中文教程8

6.1版本中文教程8

6.1版本中文教程8
6.1版本中文教程8

练习题8 – FLOPACK: 元件的简化模型和详细模型

本练习指导用户使用详细的FLOPACK 模型替代原来置顶盒中简化的芯片封装模型。

1、在FLOPACK 中创建详细的封装模型并将其导入到FLOTHERM 中。

2、细化详细FLOPACK 模型周围的网格。

3、将详细建模的结果与简化模型的结果对比,分析在何时可使用简化的模型。

练习题8 – FLOPACK: 元件的简化模型和详细模型

Load (读取)“Tutorial 7 Best ” 并将它保存为 “Tutorial 8” ,标题

设为 “Detailed Package ”。

进入项目管理窗口项目管理窗口(PM),点击窗口左边的FLOPACK 图标 ,

启动FLOPACK 。

FLOPACK Icon

练习题8 – FLOPACK: 元件的简化模型和详细模型第一步是为您的设计命名。新设计名为“cbga1”。点击右下角的

箭头进入下一步。

第二步,选择外形描述‘CBGAFC_480_23mmX23mm’,并输入

7W的功耗。点击右下角的箭头进入下一步。

练习题8 – FLOPACK: 元件的简化模型和详细模型第三步,会询问您是否了解封装模型大部分的内部详细结构。

由于通常情况下我们不能知道封装模型的详细结构。所以请选

择缺省项‘No’(否),然后进入下一步。

第四步,将会问您是否知道硅晶片的尺寸。您可以将硅晶片的

长宽均设为7mm。

练习题8 – FLOPACK: 元件的简化模型和详细模型第五步,所有的设计参数均输入完毕后,您可以点击链接‘Take

me to the design sheet’(进入设计清单),再查看一遍设计清单。

练习题8 – FLOPACK: 元件的简化模型和详细模型这时打开窗口显示一个封装概述,点击’ Edit Design’(编辑设计)

进入设计清单,清单显示了所有在向导中输入的输入量,以及

由FLOPACK自动选择的缺省值,这些值定义了封装(基于

JEDEC 轮廓)

在此清单中,将基片的尺寸更改如下:

长度:Length = 25mm

长度

宽度:Width = 25mm

宽度

厚度:Thickness = 5.89mm

厚度

在设计清单的底部,保留’Modeling Options’(建模选项)不作改

变,注意,封装的网格总数可以在窗口中加以控制,这就充许

我们在封装上应用一个预定义的局部网格。

点击’Save’(保存)按钮,然后点击’Detailed Model’(详细模型)按

钮为您设计的封装的详细模型生成一个*.pdml文件,将其另存

为cbga1.pdml在您的电脑中。

练习题8 – FLOPACK: 元件的简化模型和详细模型

需要将后缀名为*.pdml的详细封装模型的文件导入到

FLOTHERM中。

进入FLOTHERM V5.项目管理窗口(PM)窗口。在“Electronics”

组件中找到并选中“Detail Component”。右键进入‘Assembly

Menu’菜单选择‘Import/ PDML’(导入/PDML)。

使用浏览找到名为“cbga1”的详细模型的pdml文件并打开它。

这时会显示如下对话框:

点击‘No’关掉此窗口。

成功导入pdml 文件后,您会看到被导入的详细模型封装位于

“Detail Component”组件中。“cbga1”由一些次级组件

次级组件(sub-

assembly)组成,其中包括基片,晶片和焊球。

打开“cbga1”组件,检查详细模型中的各部分组件的性能。然

后,关闭“cbga1”组件。

点击图标

并执行对齐操作

方向依中心对齐。

下,不释放已选中的项并切换至

,使

:

,调出图编辑器窗口。点击图标

练习题8 – FLOPACK: 元件的简化模型和详细模型打开 ‘Legend Editor’,将‘Scalar Field’(标量场)项设为

‘Temperature’(温度)。将‘Display Range’(显示范围)设置如下:

-Range(范围)项设为‘User’

-范围值75-35°C

在显示编辑器中完成上述改动后,回到FLOMOTION主屏中,

放大详细元件模型。

点击观测值图标获得详细元件“cbga1”内部和散热器基座的

温度信息

元件的简化模型和详细模型

通过点击

练习题8 – FLOPACK: 元件的简化模型和详细模型当求解收敛时,打开DOS控制窗口,我们输入控制命令以用来

获得温差图。操作如下:

?Start --> Run --> cmd

?cd \losuite_v6\flotherm\inXP\in

(eg. cd C:\program files\flomerics\flosuite_v61\flotherm\winxp\bin)

?set FLOUSERDIR=

(eg. Set FLOUSERDIR=c:\program files\flomerics\flosuite_v61\flotherm\flouser)

?flodiff

(e.g. flodiff Tutorial8 Tutorial7comparison)

重点提示:检查各个对应于PM窗口的的项目名称,包括大写字

母和空格。

导入Tutorial8.

打开Flomotion.

练习题8 – FLOPACK: 元件的简化模型和详细模型创建对应于Z 方向Delta的 T2D平面图

移动平面使其穿过详细元件的中心。

将视图转到Z 方向 (提示: 按键盘上 ‘z’).

检查Delta T 图—

与先前估计元件节温的差别是多少?

散热器与PCB的温度是又是如何?

板及散热器周围的空气温度影响大吗?

End of Tutorial 8

Flash CS6动画制作项目教程

Flash CS6动画制作项目教程 项目1 图形的绘制与编辑 Flash是美国Macromedia公司于1999年6月推出的一款矢量动画设计软件,现已被Adobe公司收购。它可以将音乐、声效、动画以及富有创意的界面融合在一起,制作出高品质的交互式动画效果。 任务1.1 绘制米奇图案 任务描述 在动画的制作过程中,会运用到大量的矢量图形,利用自身的矢量绘图工FlashFlash具能够方便、快捷地绘制出各类简单的矢量图。本任务将通过绘制如图所示的米奇图1-1案,介绍中基本绘图工具的使用方法。Flash 1-1 图米奇图案最终效果图 任务目标, ,,初步了解。1Flash CS6 ,,掌握创建、保存和导出文件的方法。2Flash Flash CS6 ? 动画制作项目教程

,,掌握绘图的基本操作方法。3Flash CS6 2?? 1 项目图形的绘制与编辑? 相关知识 1.1.1 Flash 动画特点 Flash动画具有如下特点。 Flash,矢量动画:属于二维矢量动画,所创建的元素是用矢量来描述的,不仅文件占用空间小,而且任意缩放尺寸都不会影响图形的质量。 Flash,动画短小:动画一般比较短小,但借助于画面和情节上的夸张起伏,可以在较短时间内传达较丰富的内容。 Flash,交互性强:动画的强交互性优势,是传统动画所无法比拟的。用户可以通过诸如单击等鼠标操作来决定动画的运行轨迹。 Flash,传播广泛:动画采用流式技术播放,传输速度快,可以边下载边播放,具有广泛的传播性。 1.1.2 Flash CS6功能简介 Flash CS6是Adobe公司推出的一款二维动画制作软件,提供了创建动画和多媒体内容 的强大创作平台,具有非凡的动效编辑能力,可以让设计者的创作意图得以完美地展现。 Flash CS6的主要功能 1. 下面介绍Flash CS6的一些主要功能。 ,非凡的动效编辑能力:用时间轴和动画编辑器来创建补间动效,用反向运动工具 来开发自然、流畅的角色关节动画。直接将动画赋予元件而不依赖于关键帧,用

DC综合全过程及使用的命令

DC综合全过程及使用的命令 DC的初始化文件.synopsys.dc.setup,该文件是隐藏文件,需要用ls –a显示 读取文件 DC支持多种硬件描述格式,.db .v .vhd等 对于dcsh工作模式来说,读取不同的文件格式需要不同的参数 Read -format Verilog[db\vhdl ect] file //dcsh工作模式 对于tcl工作模式来说,读取不同文件格式使用不同的命令。

Read_db file.db //tcl工作模式读取db格式 Read_verilog file.v //tcl工作模式读取verilog格式 Read_vhdl file.v //tcl工作模式读取vhdl格式 读取源程序的另外一种方式是配合使用analyzer命令和elaborate命令; Analyzer是分析HDL的源程序并将分析产生的中间文件存于work(用户可以自己指定)的目录下 Elaborate则在产生的中间文件中生成verilog的模块或者VHDL的实体 缺省情况下,elaborate读取的是work目录中的文件 当读取完所要综合的模块后,需要使用link命令将读到DC存储区中的模块或实体连接起来 注意:如果在使用link命令后,出现unresolved design reference的警告信息,需要重新读取该模块,或者在.synopsys_dc.setup 文件中添加link_library,告诉DC到库中去找这些模块,同时还要注意search_path中的路径是否指向该模块或单元电路所在的目录 Link命令执行后,DC就将模块按照RTL级或者门级的描述将电路连接起来,之后的各种限制条件就可以对该电路的顶层模块施加 DC限制条件施加的对象 针对以下电路成分:输入输出端口、模块、子模块的实例化、单元电路、连线及电路的引脚。在使用DC命令的时候,不要使用模糊的对象,例如,如下面的电路: Set_load 3 Clk 由于在电路中含有名称为CLK的pin、port和net,因此,DC无法知道该处的load是施加在哪个对象上,注意到一般连线会驱动负载,该命令就改为: Set_load 3 [get_nets Clk] TCL mode Set_load 3 find(net,”Clk”)dc_shell mode 路径的划分与合法路径 DC综合的时候是基于路径的优化,对于DC来说,合法的路径必须满足以下条件 路径的起点为输入端口或者是触发器的数据端 路径终点为输出端口或者是触发器的时钟端 DC设定的限制条件的值都是无单位的,这些单位都是由工艺库中给定的 可以用report_lib lib_name来查看所有在工艺库中设定的各个参数的单位 定义电路的工作环境和综合环境

synopsys DC10.03图文安装配置详解

喾林原创 Synopsys DC10.03安装配置 1、需准备安装包: 1)、Synopsys DC(design compiler)安装包 2)、SCL 安装包(注:此包为synopsys license 管理)。 3)、Synopsys 图像安装工具:installer2.0及以上均可。 (注:图形安装操作简单,不易出错,故采用图形安装界面) 4)、Synopsys license 制作工具( EFA LicGen 0.4b 和Synopsys SSS Feature Keygen )。 2、开始安装DC : 1)、启动图形安装界面 于linux 系统下解压installer2.0包(在windows 下解压文件易损坏)。解压后运行setup.sh 得如图(一)所示界面。 图 (一)

喾林原创点击“start ”有如图(二)所示界面开始安装。在“Source ”栏选中DC 安装文件所在上层目录。“Done ”后“Next ”(此次“Next ”时间较长,耐心等待)。 图 (二) 之后可一直“NEXT ”到如图(三)所示。 图 (三)

在该界面勾选linux选项即可,继续下一步到如图(四)所示。选择安装路径后继续下一步直到结束。 喾林原创 图(四) 至此DC安装结束。 3、开始安装SCL: 此安装与DC安装步骤一直,几乎没有差别,唯一不同的就是安装路径不同。 4、license的制作: License的制作是在windows下制作的。

1)、打开EFA LicGen 0.4b文件夹运行LicGen.exe程序出现如图(五)所示界面。 喾林原创 图(五) 点击“OPEN”选择Synopsys.lpd文件,“打开”。回到图(五)所示界面。勾选上Custon、Use Daemon及最后一个Custon。

synopsys简易教程

以.cshrc 及用户根目录下的.synopsys_vss.setup .synopsys_dc.setup 已经配置为前提)1.创建工作目录; 2.编写vhdl源程序; 3.编写.synopsys_vss.setup 和.synopsys_dc.setup文件; 4.编译vhdl源程序; 5.运行vhdldbx 仿真器; 6.运行synopsys的综合器; 7.完成综合后的门级仿真; 以一个一位加法器为例,进行具体说明(用户界面为CDE): 1)创建adder 目录: 可以在资源管理器中完成,也可以在unix环境下完成:mkdir adder; 2)在adder目录下创建work目录; 3)编写.synopsys_vss.setup文件并存入adder目录;.synopsys_vss.setup的内容如下:WORK >DEFAULT DEFAULT:work TIMEBASE = NS 4)编写一位加法器的源程序(adder1.vhd)并存入adder目录下: library ieee; use ieee.std_logic_1164.all; entity adder1 is port(din1 : in std_logic; din2 : in std_logic; cin : in std_logic; dout: out std_logic; cout: out std_logic); end adder1; architecture rtl of adder1 is begin dout <= din1 xor din2 xor cin; cout <= (din1 and din2) or (cin and (din1 xor din2)); end rtl; 5)编写一位加法器的测试基准(即test_bench)并存入adder目录下:(tb_adder1.vhd)library ieee; use ieee.std_logic_1164.all; entity tb_adder1 is end tb_adder1; architecture rtl of tb_adder1 is component adder1 is port(din1 : in std_logic; din2 : in std_logic; cin : in std_logic;

(完整版)FlashCS6实例教程(第3版)-教学大纲

《Flash CS6实例教程(第3版)》教学大纲 课程介绍: 本课程是一门概念性和实践性都很强的面向实际应用的课程。 Flash CS6是由Adobe公司开发的网页动画制作软件。Adobe公司在Flash这一软件的版本上不断升级,是为了使广大从事网页动画工作的用户拥有性能更完善的得力工具,同时也是为了使刚刚步入设计领域的初学者能够拥有更加优秀的学习软件。 本课程主要介绍的是Flash CS6的一些基础操作,这是学习Flash的入门课程,也是必修课程,学员通过本课程的学习,应当能够初步掌握Flash CS6中基本工具、命令的使用,为今后的专业学习或深入的设计打下基础。 培训目标: ?掌握Flash CS6的基本操作 ?掌握图形的绘制和编辑 ?掌握对象的编辑和修饰 ?掌握文字的编辑 ?掌握外部素材的应用 ?掌握元件和库的应用 ?掌握基本动画的制作 ?了解层的概念并掌握层和高级动画的制作 ?掌握声音素材的编辑 ?掌握动作脚本的应用 ?掌握交互式动画的制作 ?掌握组件和行为的制作 ?了解作品的测试、优化、输出和发布 ?掌握综合设计实训案例的设计理念和制作技巧 学习时间: 59学时。 培训内容: 一、Flash CS5基础入门 1、解Flash CS6的操作界面 2、掌握文件操作的方法和技巧 3、了解Flash CS6的系统配置 二、图形的绘制和编辑 1、熟练掌握绘制图形的多种工具的使用方法; 绘制图形的工具有:形状工具组(包括矩形工具、椭圆工具、基本矩形工具、基本椭圆工具、多角星形工具)、套索工具、线条工具、铅笔工具、刷子工具、钢笔工具、选择工具组(包括选择工具、部分选择工具);

启动dc_shell工具的.synopsys.setup文档

设置启动dc_shell-t工具的 .synopsys.setup文件 Author:周建伟 Company:西安邮电大学SOC组 Date:2013.10.30 摘要:若你在读不进你的库,即在你的运行报告中总是有:warning:Can?t read link_library file …your_library.db?,这边文档会对你有一定的帮助

逻辑综合环境 启动文件 启动文件用来指定综合工具所需要的一些初始化信息。DC使用名为“.synopsys_dc.setup”的启动文件(位置:inst_dir/admin/setup/.synopsys_dc.setup)。启动时,DC会以下述顺序搜索并装载相应目录下的启动文件: DC安装目录($DC_PATH/admin/setup) 用户主目录 工具启动目录 注意:后装载的启动文件中的设置将覆盖先装载的启动文件中的相同设置 本文档重在讲述怎么设置工具启动目录 1、把inst_dir/admin/setup/.synopsys_dc.setup文件拷贝到你DC脚本目录下(也就是和你 脚本在同个目录下) 2、在.synopsys_dc.setup文件的第92行,即set link_force_case “check_reference”命令下 修改内容如下: A、set lib_path /library/smic18/feview~2/version2.2(注:lib_path为你smic18库安装 目录,不同于DC安装目录) B、set link_library [list * $lib_path/STD/Synopsys/smic18_ss.db \ $lib_path/IO/Synopsys/smic18IO_line_ss.db \ $lib_path/IO/Synopsys/smic18IO_stagger_ss.db ] C、set search_path [list . ${synopsys_root}/libraries/syn ${synopsys_root}/dw/syn_ver \ ${synopsys_root}/dw/sim_ver \ $lib_path/STD/Synopsys $lib_path/IO/Synopsys ] D、set target_library [list $lib_path/STD/Synopsys/smic18_ss.db \ $lib_path/IO/Synopsys/smic18IO_line_ss.db \ $lib_path/IO/Synopsys/smic18IO_stagger_ss.db ] E、set synthetic_library “” set command_log_file “./command.log” set designer “zjw” set company “soc of xupt”

《Flash CS6动画制作与应用》教学大纲

《Flash CS6动画制作与应用》教学大纲 课程介绍: 本课程是一门概念性和实践性都很强的面向实际应用的课程。 Flash CS6是由Adobe公司开发的网页动画制作软件。Adobe公司在Flash这一软件的版本上不断升级,是为了使广大从事网页动画工作的用户拥有性能更完善的得力工具,同时也是为了使刚刚步入设计领域的初学者能够拥有更加优秀的学习软件。 本课程主要分为基础技能篇与案例实训编。基础技能篇主要学习Flash CS6的一些基础操作,这是学习Flash的入门课程,也是必修课程,学员通过本课程的学习,应当能够初步掌握Flash CS6中基本工具、命令的使用。在案例实训篇中,学员通过对Flash 在各个设计领域的应用进行的全面分析和详细讲解,使学员更加贴近实际工作,艺术创意思维更加开阔,为今后的专业学习与深入的设计打下基础。 培训目标: ?掌握Flash CS6的基本操作 ?掌握图形的绘制和编辑的使用方法 ?掌握对象的编辑和操作的使用方法 ?掌握文本编辑的使用方法和技巧 ?掌握外部素材的使用方法和技巧 ?掌握元件和库的使用方法 ?掌握基本动画的制作方法与技巧 ?了解层的概念并掌握层和高级动画的制作 ?掌握声音素材导入和编辑的使用方法和技巧 ?掌握动作脚本的使用方法 ?掌握交互式动画与组件的方法 ?了解标志设计概述,掌握不同种类标志的设计方法和技巧 ?了解贺卡设计概述,掌握多种贺卡的设计方法和技巧 ?了解电子相册设计概述,掌握不同电子相册的设计方法和技巧

?了解广告设计概述,掌握不同领域广告的设计方法和技巧 ?了解网页设计概述,掌握各种网页的设计方法和技巧 ?了解节目包装及游戏设计概述,掌握多种节目片头及游戏的设计方法和技巧 学习时间: 61学时。 培训内容: 一、Flash CS6基础知识 1、了解Flash CS6的工作界面; 2、掌握文件的新建、打开、保存等基本操作; 二、绘制与编辑图形 1、熟练掌握绘制图形的多种工具的使用方法:包括线条工具、铅笔工具、椭圆工具、矩形工具、多角星形工具、刷子工具、钢笔工具; 2、掌握图形的多种选择工具的使用方法:包括选择工具、部分选取工具、套索工具; 3、熟练掌握图形的多种编辑工具的使用方法:包括墨水瓶工具、颜料桶工具、滴管工具、橡皮擦工具、任意变形工具、填充变形工具; 4、了解图形的色彩,并掌握几种常用的色彩面板; 三、对象的编辑和操作 1、熟练掌握对象变形的使用方法和技巧:包括扭曲、封套、缩放、旋转、倾斜、翻转等各项操作; 2、掌握对象操作的使用方法和技巧:包括组合对象、分离对象、叠放对象、对齐对象等; 3、掌握对象柔化处理的几种方法:包括将线条转换为填充、扩展填充、柔化填充边缘等; 4、熟练掌握对齐面板和变形面板的使用方法; 四、编辑文本

《Flash CS6动画制作与应用》配套教学教案

《Flash CS6动画制作与应用》 配套教学教案 第1讲

第2讲

课堂练习——绘制卡通按钮课后习题——绘制迷你太空 小结1.熟练掌握绘制图形的多种工具的使用方法:包括线条工具、铅笔工具、矩形工具、 椭圆工具、基本矩形工具、基本椭圆工具、多角星形工具、刷子工具、钢笔工具。 2.熟练掌握选择图形的多种工具的使用方法:包括选择工具组(包括选择工具、部分 选择工具)、套索工具、多边形工具、魔术棒工具。 3.熟练掌握图形的多种编辑工具的使用方法:包括墨水瓶工具、颜料桶工具、滴管工 具、橡皮擦工具、变形工具组(包括任意变形工具、渐变变形工具)。 4.了解图形的色彩,并掌握几种常用的色彩面板:包括纯色编辑面板、颜色面板。 作业课堂练习——绘制卡通按钮 练习知识要点 使用“基本矩形”工具、“颜色”面板、“渐变变形”工具和“变形”面板,绘制按钮效果;使用“矩形”工具、“椭圆”工具和“钢笔”工具,绘制汽车图形。 效果所在位置 云盘/Ch02/效果/绘制卡通按钮.fla。 课后习题——绘制迷你太空 习题知识要点 使用“钢笔”工具,绘制火箭轮廓;使用“颜料桶”工具,填充图形颜色;使用“任意变形”工具,旋转图形的角度;使用“多角星形”工具,绘制五角星;使用“椭圆”工具,绘制圆形装饰图形。

效果所在位置 云盘/Ch02/效果/绘制迷你太空.fla。 第3讲 课时内容对象的编辑与修饰授课时间45分钟课时 1 教学目标?掌握对象的变形方法和技巧。 ?掌握对象的操作方法和技巧 ?掌握对象的修饰方法。 ?熟练运用对齐面板与变形面板编辑对象。 教学重点?熟练掌握对象的扭曲、缩放、翻转、组合、对齐等各项变形操作。?掌握扩展填充和柔化填充边缘命令修饰对象的方法和技巧。 ?熟练掌握对齐面板与变形面板编辑对象的方法和技巧。 教学难点能够正确使用变形面板对对象的编辑。 教学设计1、教学思路:(1)通过对课堂案例的讲解掌握绘制风景插画、绘制折扣吊签的方法; (2)再通过软件相关功能的解析学习编辑、修饰对象的方法,以及对齐面板与变形面板编辑对象的技巧;(3)再通过课堂练习巩固软件功能及对象的编辑和修饰技巧;(4)最后通过课后习题消化所学软件知识,提高编辑和修饰对象的能力。 2、教学手段:(1)通过课堂案例熟悉设计理念和软件功能;(2)通过软件功能解析 深入学习软件功能和制作特点。(3)通过课堂练习和课后习题拓展学生的实际应用能力。 3、教学资料及要求:在网上或现实生活中找一些简单的图形对象进行编辑和修饰,来 加深学员对知识点的理解和提高实际操作能力。 教学内容 讨论问题:1、在Flash CS6中,如果想给笔触对象添加描边,需要怎样操作? 2、在Flash CS6中,如何制作出类似于羽化效果? 内容大纲:具体可结合本项目的PPT课件进行配合讲解。 3.1 对象的变形 3.1.1 扭曲对象 3.1.2 封套对象 3.1.3 缩放对象 3.1.4 旋转与倾斜对象 3.1.5 翻转对象 3.2 对象的操作

DC基本问题

1.14. translation这一步是用什么DC命令来实现的? 我们知道,DC综合过程包括3个步骤:translation + logic optimization + mapping transition 对应命令为 read_verilog(read_vhdl等) logic optimization 和 mapping 对应于 compile 1.15. 逻辑优化和映射(logic optimization + mapping)又是用什么DC命令来实现的? logic optimization 和 mapping 均在compile命令完成,但是可以指定使用特殊的优化方法:structural 和flatten,建议大家在synthesis时同时生成structural 和flatten格式的netlist,然后打开看看到底有什么不同之处。 1.17. 基于路径的综合的意思是什么? 路径(path),是DC中的一个重要概念。它包括4种路径方式: 1 input到FF的D端。 2 FF的clk到另一个FF的D端。 3 FF的clk到输出Q。 4 input到output。 基于路径的综合就是对这四种路径进行加约束,综合电路以满足这些约束条件。 1.24 reference 是指什么? 和cell 有什么区别? 当存在一个模块被多次例化,那么该模块就称为reference 1.25 如何读入一个design? 使用analyze + elaborate 或者 read_verilog、read -f verilog、 read_vhdl、read_file 命令。 1.26 analyze+ elaborate 和 read 命令有什么区别? read_file 是可以读取任何synopsys支持格式的;analyze和eloborate 只支持verilog和VHDL两个格式,但是他们支持在中间过程中加入参数而且以便以后可以加快读取过程。

Encounter使用入门教程

Encounter使用入门教程 本教程介绍一下自动布局布线工具Encounter的使用知识,开始以一个简单的十进制计数器版图的自动实现为例子,之后介绍包含block模块的复杂的版图自动实现。 在Designer Compiler使用入门教程中,笔者设计了一个十进制计数器,并经过Design Compiler对其进行综合后获得了门级综合网表文件counter.sv以及约束文件counter.sdc,根据这两个文件,我们就可以使用SOC Encounter实现十进制计数器的物理版图设计了。首先,我们要准备使用Encounter进行版图自动设计时所需要的数据: 时序库文件:fast.lib,slow.lib,tpz973gwc.lib,tpz973gbc.lib 物理库文件:tsmc18_6lm_cic.lef,tpz973g_5lm_cic.lef,tsmc18_6lm_antenna_cic.lef 门级网表文件:pad_counter.sv 时序约束文件:pad_counter.sdc IO位置放置文件:pad_counter.io //在设计导入Encounter中指定PAD的放置位置文件,不是必须文件 还有其它一些文件在后面用到时进行介绍。 一、网表中添加PAD、编写IO Assignment File 这里,pad_counter.sv是加入PAD后综合得到的门级网表。工程项目中设计制作完成后的芯片要进行封装,PAD就是芯片在封装时连接封装引线的地方。一般信号输入/输出PAD即I/O PAD要在综合前添加进入网表中,电源电压PAD 可以在综合时添加也可以在综合后添加。接下来就先介绍一下如何在网表中加入PAD,其实给网表加入PAD就是一般的module例化,和Verilog中一般的module 模块例化是一样的。 这里介绍在综合时给设计中加入I/O PAD。十进制计数器的Verilog源程序如下:module Cnt10(reset_n,clk,in_ena,cnt,carry_ena); input clk; input reset_n; input in_ena; output [3:0] cnt; output carry_ena; reg [3:0] cnt; reg carry_ena; always @(posedge clk or negedge reset_n) begin if(!reset_n) cnt<=4'b0; else if(in_ena && cnt==4'd10)

FlashCS6实例教程教学教案

《Flash CS6实例教程》 教学教案 第1讲 课时内容Flash CS6基础知识授课时间90分钟课时2 教学目标 了解Flash CS6的操作界面。 掌握文件操作的方法和技巧。 了解Flash CS6的系统配置。 教学重点 熟练掌握Flash CS6工作界面的基本操作。 熟练掌握Flash CS6文件的基本操作。 教学难点在Flash CS6中保存及导出其他格式文件的方法和技巧。 教学设计1、教学思路:(1)通过对软件相关功能的讲解掌握工作界面操作的方法;(2)通 过软件相关功能的解析学习Flash CS6设置文件的基本操作。 2、教学手段:(1)通过课堂案例熟悉设计理念和软件功能;(2)通过软件功能解 析深入学习软件功能和制作特点。 3、教学资料及要求:除了课堂实训案例中讲解的软件功能外,还可以根据相关的工 具特点进行简单的练习和操作。 教学内容 讨论问题:1、Flash CS6中舞台与工作区有什么不同的区别? 2、在Flash CS6中,可以导出哪几种格式放到网页中?内容大纲:具体可结合本项目的PPT课件进行配合讲解。 1.1 FLASH CS6的操作界面

1.1.1 菜单栏 1.1.2 主工具栏 1.1.3 工具箱 1.1.4 时间轴 1.1.5 场景和舞台 1.1.6 “属性”面板 1.1.7 浮动面板 1.2 FLASH CS6的文件操作 1.2.1 新建文件 1.2.2 保存文件 1.2.3 打开文件 1.3 FLASH CS6的系统配置 1.3.1 首选参数面板 1.3.2 设置浮动面板 1.3.3 历史记录面板 小结1、熟练掌握Flash CS6界面中菜单栏、主工具栏、工具箱、时间轴、场景和舞台、 属性面板以及浮动面板的操作方法和技巧。 2、熟练掌握在Flash CS6中新建、打开、保存的方法。 3、了解首选参数面板、浮动面板和历史记录面板的使用方法和技巧。

DC使用全书(Design Compiler)

DC学习----第一章基本概念 作者:未知时间:2010-08-15 15:02:50 来自:网络转载 1.1 启动文件 启动文件用来指定综合工具所需要的一些初始化信息。DC使用名为“.synopsys_dc.setup”的启动文件,启动时,DC会以下述顺序搜索并装载相应目录下的启动文件: 1)、DC的安装目录; 2)、用户的home目录; 3)、当前启动目录。 注意:后装载的启动文件中的设置将覆盖先装载的启动文件中的相同设置。 下面是一个DC启动文件的实例,它包含了几乎所有重要的设置,下文将结合该实例解释启动文件中各项设置的具体含义。 例1-1(一个DC启动文件): search_path= search_path + {“.”, synopsys_root + “/dw/sim_ver” } search_path= search_path + { “~/risc32/synthesis/libraries” } target_library={ tcb773stc.db } synthetic_library={dw_foundation.sldb} link_library = { “*”, dw_foundation.sldb, tcb773stc.db } symbol_library = { tcb773s.sdb } synlib_wait_for_design_license = {"DesignWare-Foundation"} alias rt “report_timing” designer= XXXXX company= “ASIC Lab, Fudan Univ.” search_path指定了综合工具的搜索路径。 target_library为综合的目标库,它一般是由生产线提供的工艺相关的库。 synthetic_library是综合库,它包含了一些可综合的与工艺无关的IP。dw_foundation.sldb是Synopsys提供的名为Design Ware的综合库,它包含了基本的算术运算逻辑、控制逻辑、可综合存储器等IP,在综合是调用这些IP有助于提高电路性能和减少综合时间。 link_library是链接库,它是DC在解释综合后网表时用来参考的库。一般情况下,它和目标库相同;当使用综合库时,需要将该综合库加入链接库列表中。 symbol_library为指定的符号库。 synlib_wait_for_design_license用来获得DesignWare-Foudation的许可(license)。 alias语句与UNIX相似,它定义了命令的简称。 最后的designer和company项则表明了设计者和所在公司。 另外,在启动文件中用符号“/*” 和“*/” 进行注释。 1.2 设计实体 在DC中,总共有8种设计实体: λ设计(Design):一种能完成一定逻辑功能的电路。设计中可以包含下一层的子设计。 单元(Cell):设计中包含的子设计的实例。λ λ参考(Reference):单元的参考对象,即单元是参考的实例。 端口(Port):设计的基本输入输出口。λ λ管脚(Pin):单元的输入输出口。 连线(Net):端口间及管脚间的互连信号。λ λ时钟(Clock):作为时钟信号源的管脚或端口。

dc使用

DC使用说明 文件说明:在进行下面的演示时需要用到两个文件,一个是example1.v,它是描述一个电路的verilog代码,我们的目标就是用DC综合这个代码得到满足约束条件的电路网表;另一个是dc.scr,它是综合example1.v的脚本文件。这两个文件都在/home/student1000目录下,大家把它们拷贝到自己的目录下,以备使用。DC既可使用图形界面,也可不使用图形界面而直接运行脚本来综合电路。 一、DC图形界面的使用。 1.DC图形界面的启动 1.1 打开一个终端窗口,写入命令dv –db_mode,敲入回车。 则DC图形界面启动,如下图所示

红框处是DC的命令输入框,以下在图形界面上的操作都可以在命令输入框中输入相应的命令来完成。 选择Help----- Man Pages可以查看DC的联机帮助。相应指令:man。例:man man表示 查看man命令的帮助。man create_clock表示查看creat_clock命令的帮助。

2.设置库文件 选择File---- Setup 需要设置以下库文件,如下图。 相应指令: set search_path [list /tools/lib/smic25/feview_s/version1/STD/Synopsys \ /tools/lib/smic25/feview_s/version1/STD/Symbol/synopsys] set target_library { smic25_tt.db } set link_library { smic25_tt.db } set symbol_library { smic25.sdb }

中文DC文档

max_transition可以定义为上升或下降电阻和线网负载的乘积,除了工艺库中提供的,用户也可自己定义,而实际采用的是最严格的那个定义值。 相比较max——transition并不直接控制线网的实际电容,而max_capacitance作为直接控制电容的限制而提出的。但是其cost function是基于总的电容而不是瞬态时间。二者可以同时定义。没有违反约束的定义是:驱动pin或者是port的max capacitance大于或者是等于所有被驱动管的电容之和。 Max transition和max fanout 和max capacitance可以用来决定设计中的buffer个数。―――影响时间参数 优化约束―――对时间的约束优先于对面积的约束。在满足对面积的约束之前,会尽量的考虑满足对时间约束条件的满足。 对时间的约束―――-时序电路中通过对时钟的定义来达到,set input delay和set output delay。 ――――组合电路通过对set max delay和set min delay来设定点点之间的时间延迟,max delay通过设定set max delay和creat clock来达到; 而min delay通过设定set min delay和对保持时间的要求来达到。其中的使用set fix hold来固定保持时间。 优化的过程就是减少代价函数的过程――包括设计规则代价函数和优化代价函数。Max delay cost;min delay cost;max power cost;max area cost; 其中max delay cost是代价函数中具有最优权。 Max delay cost的计算:最差的违背和每个路径组的权重之积――worst violation;path group; 当设计不能满足时序单元的建立时间的要求的时候,违背就发生了。一般,把由一个时钟约束的路径组合成一个路径组,这样每个时钟都建立了一个单独的路径组,而剩余的路径组合成默认的路径组,如果在没有时钟的情况下,所有的路径都组合成一个默认的路径组。而综合总是针对路径进行的,所以很容易给每个路径定义其权重。如:max delay cost=1×1+1×2+1×3=6 min delay cost次重。与路径无关,是所有的最差的min delay违背之和,当实际的延迟时间小于设定的期望的延迟时间的时候,违背就发生了。如:min delay=1+2+3=6 max power是用于ECL电路中。 综合时候的时钟设定: 设定时钟树的时候,使用set dont touch network来设定时钟的dont touch参数值,防止 buffers。 Synopsys的STA不报告时序单元中针对时钟管脚的延迟(认为是理想状态-0)。如果时钟经过门单元的话,则不能认为时钟延迟是0,所以也要设定set clock skew-proagated选项来得到非零的时钟延迟,如果ASIC提供商已经定义了相对于时序单元管脚的潜在的时钟skew的上限的话,可以用set clock skew -uncertainty来定义skew。在设定完时钟之后,我们要使用set input delay和set output delay-option来设定输入输出端口的时间设定。用set multicycle path来设定复合时钟。

synopsys_DC-200809安装图文详解

Synopsys Design Compiler 2008.09安装图文详解 安装环境说明:此文讲述的是基于虚拟机VMware 中的Ubuntu10.04系统安装DC 的详细过程。在其它Linux 系统安装也可以循此步骤安装。 安装资源准备:synopsys installer 2.0版本;scl(scl_v10.9.3_common 和scl_v10.9.3_linux);DC _200809(Design Compiler_200809_common 和Design Compiler_200809_linux);license 。 破解工具:EFA LicGen 0.4b 和 Synopsys SSS Feature Keygen 。 安装的目录结构:在安装的过程中会选择要安装的路径,这些指安装后的文件夹结构。 安装过程:(安装是在root 权限下进行的,推荐) 1. 安装前确保Linux 系统已经安装了csh .若没有安装,通过命令apt-get installer csh 安装, 如下所示: (注:我之前死活装不上CSH ,发现需要更新一下sudo apt-get update ) 2. 安装installer :将synopsys installer2.0 解压到installer 文件夹即可. 3. 安装SCL : 通过命令./installer –gui ,采用图形化用户界面的方式安装。首先用cd 命令转 到installer 目录下,然后执行 ./installer –gui 命令。如图所示:(参照图中下方两行命令)

选中目标后,点击Done。 接下来一直点击Next就行,出现下面的情况,点击NO,继续安装。

DC综合操作流程_设置流程

总流程 1:库的设置 2:设计的读入 3:设置环境属性 (1)set_operating_conditions (2)set_wire_load_model和set_wire_load_mode (3)set load (4)set_drive或者set_driving_cell 4:设计规则约束 (1)set_max_transtion (2)set_max_capacitance (3)set_max_fanout 5:优化约束 (1)create_clock (2)set_clock_uncertainty (3)set_clock_latency (4)set_input_delay (5)set_output_delay (6)set_false_path (7)set_multicycle_path (8)set_max_delay和set_min_delay (9)set_max_area

7:一些编译命令及DC的输出格式 注意: 1:在前端设计中一般不做hold_time的约束,hold_time的约束可以在后端修复!!!

总流程: 1:对库进行基本设置,如下:设置完成后应该查看.synopsys_dc.setup里面库的设置和软件application setup处的设置是否一样!DC的初始化文件.synopsys.dc.setup需要用ls –a显示,命令:more .synopsys.dc.setup查看文件内容!

2:读入设计,两种方法:read和analyze+elaborate Analyzer是分析HDL的源程序并将分析产生的中间文件存于work(用户可以自己指定)的目录下;Elaborate则在产生的中间文件中生成verilog的模块或者VHDL的实体缺省情况下,elaborate读取的是work目录中的文件

Flash CS6案例教程-教学计划

Flash CS6案例教程教学计划 课程性质与任务 网页动画设计是计算机平面设计专业的一门专业技能课程,。本课程的任务是:学习运用Flash软件对网页按钮特效、菜单特效、网页页眉、网站导航、广告动画等进行设计制作的方法。通过对典型应用案例的学习和实践,使学生能够针对网页风格和特色,制作相应网页元素动画、拓展学生就业渠道。 课程教学目标 (一)基本知识教学目标 1、掌握Flash的基本功能和操作; 2、掌握网页动画的制作流程; 3、掌握Flash动画设计的一般规律和技巧。 (二)专业能力教学目标 1、能够熟练掌握Flash软件的使用。 2、熟练地运用Flash绘制图形、按钮、菜单等,并能在实际操作中得到应用。 3、能够利用Flash基本工具创建基本的网页动画。 4、能够利用Flash进行平面设计与创作。 5、培养学生搜集资料、阅读资料和利用资料的能力; 6、培养学生的自学能力。 (三)学生专业素质目标 1、培养学生的创新和团队协作精神; 2、培养学生的工作、学习的主动性。 (四)技能鉴定培养目标 1、理解并掌握计算机Flash图形图像处理的基本概念; 2、能够熟练使用图像处理软件Flash创建和存储文件; 3、熟练使用Flash工具箱中的工具进行简单的图形绘制和动画制作; 4、理解Flash中选择元件、声音和视频等相关知识并能正确使用; 5、掌握动画制作的基本方法与技巧; 6、理解计算机中颜色的表示方法和图像的颜色模式; 7、能够使用Flash对图像进行颜色和形状的调整; 8、了解代码的基本作用,并能完成一些简单代码的制作; 教材分析 《“学中做做中学”系列教材 Flash CS6案例教程》王梦师鸣若郑睿 (主编) 电子工业出版社; 第1版 (2016年1月)。是图形图像处理软件Flash cs6的基础实用教程,通过11个模块,44个具体的实用项目,对Flash操作基础,Flash绘图功能,基本动画类型制作,高级动画类型制作,声音、视频和元件的应用,开场和片头动画,课件制作,贺卡制作和游戏制作进行了较为全面的介绍。 学生学情分析 中专平面设计专业的学生们经历了一年的学习,理论知识和动手能力都有了一定的基础,大部分学生

SynopsysDC中文培训实验

SYNOPSYS Lab1 Setup and Synthesis Flow 缩略词:Design Compile =DC; 备注:UNIX%代表在linux终端下进行操作,其余的代表在DC下进行DC命令输入 前言: DC(Design Compiler)是Synopsys的logical synthesis优化工具,它根据design description 和constraints自动综合出一个优化了的门级电路。它可以接受多种输入格式,如硬件描述语言、原理图和netlist等,并产生多种性能报告,在缩短设计时间的同时提高读者设计性能。 基本的综合流程:

该基本综合流程包含下列步骤: 1. 发展HDL文件 输入Design Compiler的设计文件通常都是用诸如VHDL和Verilog HDL等 硬件描述语言编写。这些设计描述必须小心地编写以获得可能的最好的综合结果。在编写HDL代码时,你需要考虑设计数据的管理、设计划分和HDL编码风格。划分和编码风格直接影响综合和优化过程。 虽然流程中包含该步骤,但实际上它并不是Design Compiler的一个步骤。你不能用Design Compiler工具来编写HDL文件。 2. 指定库 通过link_,target_,symbol_,和synthetic_library命令为Design Compiler指定链接库、对象库、符合库和综合库。 链接库和对象库是工艺库,详细说明了半导体厂家提供的单元和相关信息,象单元名称、单元管脚名称、管脚负载、延迟、设计规则和操作环境等。 符号库定义了设计电路图所调用的符号。如果你想应用Design Analyzer图形用户界面,就需要这个库。 另外,你必须通过synthetic_library命令来指定任何一种特殊的有许可的设计工具库(你不需要指定标准设计工具库)。 3. 读入设计 Design Compiler使用HDL Compiler将RTL级设计和门级网表作为设计输 入文件读入。通过analyze和elaborate命令读入RTL级设计,通过read_file或read命令读入门级网表。Design Compiler支持所有主要的门级网表格式。 如果你用read_file或read命令读入RTL设计,等于实现了组合3analyze和elaborate命令的功能。 4. 定义设计环境 Design Compiler要求设计者模拟出待综合设计的环境。这个模型由外部 的操作环境(制造流程、温度和电压)、负载、驱动、扇出、线负载模型等组成。它直接影响到设计综合和优化的结果。利用图2-4中该步骤下所列的一系列命令来定义设计环境。5. 设置设计约束 Design Compiler利用设计规则和最优化约束来控制设计的综合。厂家工 艺库提供设计规则以保证产品符合规格、工作正常。典型的设计规则约束转换时间(set_max_transition)、扇出负载(set_max_fanout)和电容(set_max_capacitance)。这些规则指定了要求的工艺,你不能违反。当然,你可以设置更严格的约束。 最优化约束则定义了时序(时钟、时钟错位、输入延时和输出延时)和面积(最大面积)等设计目标。在最优化过程中,Design Compiler试图去满足这些目标,但不会去违反任何设计规则。利用图2-4中该步骤下所列的一系列命令来定义这些约束。为能够正确地优化设计,必须设置更接近现实情况的约束。 你选择的编译策略将影响设计约束的设置。流程中的步骤5和步骤6是相互依赖的。 6. 选择编译策略 你可以用来优化层次化设计的两种基本编译策略被称为自顶向下和从下上。 在自顶向下的策略里,顶层设计和它的子设计一起进行编译。所有的环境和约束设置都根据顶层设计来定义。因此,它会自动的考虑内部模块的依赖性。但对于大型设计,这种方法并不实用,因为所有的设计必须同时贮存在内存里。 在从下到上的策略里,分别对子设计进行约束和编译。在成功编译后,这些设计都被赋予一个dont_touch参数,防止在随后的编译过程中对它们进行进一步的改变。然后这些编

相关主题
文本预览
相关文档 最新文档