当前位置:文档之家› 计算机系统结构复习资料1

计算机系统结构复习资料1

计算机系统结构复习资料1
计算机系统结构复习资料1

《计算机系统结构》复习资料

一、名词解释

1.计算机系统结构

2. 存储器带宽

3.云计算

4.缺页

5.静态流水线

6.多核CPU

7.动态互连网络

8.动态流水线

二、选择题

1.对计算机系统结构,下列(D)是透明的。

A.浮点数据表示

B.指令系统

C.访问方式保护

D.阵列运算部件

2.假设对A机器指令系统的每条指令的解释执行可直接由B机器的一段

微程序解释执行,则A称为(D)。

A.仿真机

B.宿主机

C.虚拟机

D.目标机

3.对汇编语言程序员,下列(A)不是透明的。

A.中断字寄存器

B.乘法器

C.移位器

D.指令缓冲器

4.在采用基准测试程序来测试评价机器的性能时,下列方法按照评价准

确性递增的顺序排列是(D)。

(1)、实际的应用程序方法

(2)、核心程序方法

(3)、玩具基准测试程序(小测试程序)

(4)、综合基准测试程序

A.(1)(2)(3)(4) B.(2)(3)(4)(1)

C.(3)(4)(1)(2) D.(4)(3)(2)(1)

5.设主存和辅存的平均访问时间分别为10-6秒和10-2秒,若要使虚拟存

储器的主存-辅存层平均时间达到10-4,问至少应保证主存访问命中率为多少? C

A)0.97 B)0.98

C)0.99 D)1

6.SIMD是指(B)

A.单指令流单数据流

B.单指令流多数据流

C.多指令流单数据流

D.多指令流多数据流

7.下列那种存储设备不需要编址?D

A. 通用寄存器

B. 主存储器

C. 输入输出设备

D. 堆栈

8.按照计算机系统层次结构,算术运算、逻辑运算和移位等指令应属于

(A)级机器语言。

A.传统机器语言机器

B.操作系统机器

C.汇编语言机器

D.高级语言机器

9.对输入输出系统的组织产生决定性影响的是哪些基本要求?D

1)异步性2)同步性3)分时性4)实时性

5)设备相关性 6)设备无关性

A. 2,3,5

B. 1,4,6

C. 2,4,6

D. 1,3,5

10. 下面哪个页面替换算法实际上是不能够实现的?D A.随机页面替换算法 B.先进先出替换算法 C.最久没有使用算法 D.最优替换算法

11. 为了提高向量处理机的性能,会采用一些特殊的技术,下面那一项不是提高向量处理机性能而采用的技术?D A.链接技术 B.向量递归技术 C.Cache 缓存技术 D.稀疏矩阵的处理技术

12. 假设一条指令的执行过程可以分为“取指令”、“分析”和“执行”三段,每一段的执行时间分别为t ?、t ?2和t ?3,顺序执行n 条指令至少需要花费的时间为:C A.t n ?3 B.t n ?2 C.t n ?6

D.t n ?

13. 指令优化编码方法,就编码的效率来讲,那种方法最好?C A. 固定长度编码 B. 扩展编码法 C. huffman 编码法 D. 以上编码都不是

14. 在早期冯〃诺依曼计算机特征中,机器以(C )为中心。 A.存储器 B.输入输出设备 C.运算器

D.控制器

15. 按照Amdahl 定律,假设将某系统的某一部件的处理速度加快到原来的5倍,但该部件的原处理时间仅为整个运行时间的20%,则采用加快措施后能使整个系统的性能提高到原来的(D )倍。 A.1/0.36 B.1/0.24

C.1/0.45

D.1/0.84

16.SISD 是指(A ) A.单指令流单数据流 B.单指令流多数据流 C.多指令流单数据流 D.多指令流多数据流

17.对计算机系统结构,下列(D )是透明的。 A.浮点数据表示 B.指令系统 C.访问方式保护

D.阵列运算部件

18.下列(B )兼容方式对系列机来说是必须做到的。 A.向前兼容 B.向后兼容 C.向上兼容 D.向下兼容

19.假设一条指令的执行过程可以分为“取指令”、“分析”和“执行”三段,每一段的执行时间分别为t ?、t ?2和t ?3,顺序执行n 条指令至少需要花费的时间为:C A.t n ?3 B.t n ?2 C.t n ?6

D.t n ?

20. 一个二级虚拟存储器,CPU 访问主存和辅存的平均时间分别为1μs 和1ms.经实测,此虚存平均访问时间为100μs.下面那种方法不能够使得该平均值减小?A A.增加辅存的容量

B.采用较好的页面替换算法

C.增加主存的容量

D.选择合适的页面大小

21. 下述几个需要解决的问题中,那个是向量处理机所最需关心的?B A.计算机指令的优化技术

B.设计满足运算器带宽要求的存储器

C.如何提高存储器的利用率,增加存储器系统的容量

D.纵横处理方式的划分问题

22. RISC 思想主要是基于什么样的目的?A A.减少指令的平均执行周期数 B.减少指令的复杂程度 C.减少硬件的复杂程度 D.便于编译器编写

23.一个二级虚拟存储器,CPU 访问主存和辅存的平均时间分别为1μs 和1ms.经实测,此虚存平均访问时间为100μs.下面那种方法不能够使得该平均值减小?D

A ) 选择合适的页面大小

B ) 采用较好的页面替换算法

C ) 增加主存的容量

D ) 增加辅存的容量

24. 指令优化编码方法,就编码的效率来讲,那种方法最好?C

A. 固定长度编码

B. 扩展编码法

C. huffman 编码法

D. 以上编码都不是

25. x=-0.875×21,y=0.625×22,设尾数3位,符号位1位,阶码2位,阶符1位,通过补码运算求出z=x-y 的二进制浮点规格化结果是A A.1011011 B.0111011 C.1001011 D.以上都不是

26.选择以下四个过程在通道工作过程中的正确顺序:D 1)组织I/O 操作 2)向CPU 发中断请求

3)编制通道程序

4)启动I/O 通道

A.1234

B.3412

C.4321

D.2314

27.早期的计算机系统只有定点数据表示,因此硬件结构可以很简单。但是这样的系统有明显的缺点,下面哪一个不是它的缺点:D A .数据表示范围小 B .单个需要的计算时钟周期多 C .编程困难 D .存储单元的利用率很低 28. 下图所示的时空图对应的处理机为:D

A. 标量处理机

B. 超标量处理机

C. 超流水处理机

D. 超标量超流水处理机 29. 软件和硬件在( B )意义上市等效的。 A. 系统结构 B. 功能 C. 性能 D. 价格

时钟周期

指 令 1 2 3 4

30. 为使虚存系统有效地发挥其预期的作用,所运行的程序应具有的特性是(C )。

A.该程序不应含有过多的I/O 操作

B.该程序的大小不应该超过实际的内存容量

C.该程序应具有较好的局部性

D.该程序的指令相关不应过多

31.在计算机系统层次结构图中,下列四个级别机器由下到上应按(A )排列:

(1)、汇编语言机器 (2)、微程序控制机器 (3)、传统机器语言机器

(4)、操作系统机器 A.(2)(3)(4)(1) B.(2)(4)(3)(1) C.(3)(2)(1)(4)

D.(1)(2)(3)(4)

32. 下列那种存储设备不需要编址?D A. 通用寄存器 B. 主存储器 C. 输入输出设备 D. 堆栈 33. MIMD 是指(D ) A.单指令流单数据流 B.单指令流多数据流 C.多指令流单数据流 D.多指令流多数据流

三. 计算题

1. 一条流水线连接图如下所示,画出100条指令连续通过该流水线的时

空图,并计算该流水线的加速比。(其中ns 1t =?)

2. 主频为400MHz 的微处理机,平均每条指令的执行时间为两个机器周

期,每个机器周期由两个时钟脉冲组成,则当存储器为“零等待”时,机器运行速度为多少MIPS 。

3. 在下列不同类型的处理机上做向量运算:D=(A+B)*C ,向量长度均为8,

每个周期的时间为10ns 。分别计算所需的最短时间,写出简要计算过程。

1).SISD 单处理机,有一个通用运算部件,每3个周期做完一次加法,

输出

t=Δt t=Δ3t t=Δt t=Δt

或每4个周期做完一次乘法。

2).流水线处理机,有一条两功能静态流水线,加法经过其中的3段,乘法经过其中的4段,每段的延迟时间均为一个周期。

3).向量处理机,有独立的加法器和乘法器,加法器采用3段流水线,乘法器采用4段流水线,每段的延迟时间均为一个周期,采用向量链接方式工作。

4. 某计算机的Cache-主存层次采用组相联映象方式,块大小为128字节,Cache 容量为64块,按4块分组,主存容量为4096块。那么主存地址共需几位?.

5. 假设一条指令的执行过程分为“取指令”、“分析”和“执行”三段,每一段的时间分别为?t 、?t 和3?t 。在下各种情况下,分别写出连续执行n 条指令所需要的时间表达式。 1) 顺序执行方式。

2) “取指令”、“分析”和“执行”重叠。

6.试确定在下列3种计算机系统中,计算下列表达式所用时间

()∏=+=8

1

i i i B A S

其中,加法需用30ns ,乘法需用50ns 。在SIMD 和MIMD 计算机中,数据由一个PE (计算单元)传送到另一个PE 需要10ns ,而在SISD 计算机中数据传送时间可以忽略不计。在SIMD 计算机中PE 间以线性环方式互连(以单向方式传送数据)

1).具有一个通用PE 的SISD 计算机系统

2).具有一个加法器和一个乘法器的多功能部件的SISD 计算机系统 3).具有8个PE 的SIMD 计算机系统

7.在某个程序中,简单指令占80%,复杂指令占20%,在CISC机中简单指令执行需4个机器周期,复杂指令需要8个机器周期。在RISC机中简单指令执行需要1个周期,而复杂指令需要通过一串指令来实现,假定每条复杂指令平均需要14条简单指令。若该程序中需要执行的总的指令数为1,000,000, 一个机器周期时间是100ns,那么:

1).RISC机需执行的指令数多少?

2),CISC和RISC机的CPU时间分别是多少?

3),RISC机对CISC机的加速比为多少?

《计算机系统结构》复习资料答案

一、名词解释

1.计算机系统结构

【答案】系统结构定义为由程序设计者所看到的一个计算机系统的属性,即概念性结构和功能特性,这里的程序设计者所看到的计算机属性是指为机器语言或编译程序设计者所看到的计算机属性,是硬件子系统的概念性结构及其功能特性,它是计算机系统的软、硬件的界面。

2. 存储器带宽

【答案】

存储器带宽为存储器在连续访问时的数据吞吐速率。带宽的单位通常是每秒钟传送的位数或字节数。

3.云计算

【答案】云计算是一种基于互联网的计算新方式,通过互联网上异构、自治的服务为个人和企业用户提供按需即取的计算。“云”同时也是对底层基础设施的一种抽象概念。

4.缺页

【答案】

缺页是指如果所需要的页面在PT(页表)中找不到,则报告缺页。缺页表示所访问的页面还没有装入主存储器中。

5.静态流水线

【答案】

在同一时间内,多功能流水线中的各个功能段只能按照一种固定的方式连接,实现一种固定的功能。只有当按照这种连接方式工作的所有任务都流出流水线之后,多功能流水线才能重新连接,以实现其他功能。

6.多核CPU

【答案】多核CPU是将多个CPU核集成到单个芯片中,每个CPU核都是一个单独的处理器。每个CPU核可以有自己单独的Cache,也可以多个CPU 核共享同一Cache。

7.动态互连网络

【答案】设置有源开关,可以根据需要借助控制信号对连接通路加以重新组合实现所要求通信模式的网络。

8.动态流水线

【答案】在同一时间内,多功能流水线的各段可以按照不同的方式连接,同时执行多种功能。其中流水线中各个功能部件不能发生冲突。

二、选择题

1.D 2. D 3. A 4.D 5.C

6. B

7.D

8. A

9.D 10. D

11. D 12.C 13.C 14.C 15.D

16. A 17. D 18. B 19. C 20. A

21. B 22. A 23. D 24. C 25. A

26.D 27.D 28.D 29.B 30.C

31.A 32. D 33.D

三、计算题

1.

=6×100=600ns

解:顺序执行的时间为T

=3×100+6-1=305ns

采用流水方式执行的时间为T

1

加速比S

2.

【答案】100

3.

解:

1)10ns×8×(3+4)= 560ns,8次加法和8次乘法串行执行

2)10ns×(10+11)= 210ns,流水线执行8次加法需要10个周期,流水线执行8次乘法需要11个周期

3)10ns×(7+7)= 140ns,得到第1个结果需要7个周期,另外7个结果需要7个周期

4.

【答案】A(区号6+组号4+块号2+块内地址7)

5.

解:

1).顺序执行时每条指令用时=?t+?t+3?t=5?t,因此n条指令所需要的时间=5n*?t

2)第一条指令完成需要时间=?t+?t+3?t=5?t,由于一条指令的“取指令”和“分析”阶段和下一条指令的“执行”阶段重叠,因此,此后每3?t 完成一条指令,余下的n-1条指令用时(n-1)*3?t.因此n条指令所需要的时间=5?t+(n-1)*3?t=(3n+2)?t

6.

解:

1).30*8+50*7=590ns,

或者如果从初值1开始乘起,则为30*8+50*8=640

2).30*2+50*8=460ns

3).30+10+50+20+50+40+50=250ns

7.

解:

(1)3600000

(2)0.48s 0.36s

(3)1.33

计算机体系结构实验报告二

实验二结构相关 一、实验目得: 通过本实验,加深对结构相关得理解,了解结构相关对CPU性能得影响。 二、实验内容: 1、用WinDLX模拟器运行程序structure_d、s 。 2、通过模拟,找出存在结构相关得指令对以及导致结构相关得部件。 3、记录由结构相关引起得暂停时钟周期数,计算暂停时钟周期数占总执行 周期数得百分比。 4、论述结构相关对CPU性能得影响,讨论解决结构相关得方法。 三、实验程序structure_d、s LHI R2, (A>>16)&0xFFFF 数据相关 ADDUI R2, R2, A&0xFFFF LHI R3, (B>>16)&0xFFFF ADDUI R3, R3, B&0xFFFF ADDU R4, R0, R3 loop: LD F0, 0(R2) LD F4, 0(R3) ADDD F0, F0, F4 ;浮点运算,两个周期,结构相关 ADDD F2, F0, F2 ; < A stall is found (an example of how to answer your questions) ADDI R2, R2, #8 ADDI R3, R3, #8 SUB R5, R4, R2 BNEZ R5, loop ;条件跳转 TRAP #0 ;; Exit < this is a ment !! A: 、double 1, 2, 3, 4, 5, 6, 7, 8, 9, 10 B: 、double 1, 2, 3, 4, 5, 6, 7, 8, 9, 10 四、实验过程 打开软件,load structure_d、s文件,进行单步运行。经过分析,此程序一 次循环中共有五次结构相关。(Rstall 数据相关Stall 结构相关) 1)第一个结构相关:addd f2,,f0,f2 由于前面得数据相关,导致上一条指令addd f0,f0,f4暂停在ID阶段,所以下一条指令addd f2,,f0,f2发生结构相关,导致相关得部件:译码部件。

北邮高级计算机系统结构实验二三四五

实验二指令流水线相关性分析 ·实验目的 通过使用WINDLX模拟器,对程序中的三种相关现象进行观察,并对使用专用通路,增加运算部件等技术对性能的影响进行考察,加深对流水线和RISC处理器的特点的理解。 ·实验原理: 指令流水线中主要有结构相关、数据相关、控制相关。相关影响流水线性能。·实验步骤 一.使用WinDLX模拟器,对做如下分析: (1)观察程序中出现的数据/控制/结构相关。指出程序中出现上述现象的指令组合。 (2)考察增加浮点运算部件对性能的影响。 (3)考察增加forward部件对性能的影响。 (4)观察转移指令在转移成功和转移不成功时候的流水线开销。 ·实验过程 一.使用WinDLX模拟器,对做如下分析: } 浮点加、乘、除部件都设置为1,浮点数运算部件的延时都设置为4,如图1: 图1 初始设置 将和加载至WinDLX中,如图2示。

图2 加载程序 1.观察程序中出现的数据/控制/结构相关;指出程序中出现上述现象的指令组合。 1)数据相关 点击F7,使程序单步执行,当出现R-Stall时停止,运行过程中出现下图3所示,输入整数6。 图3 输入整数6 @ 打开Clock Diagram,可以清楚的看到指令执行的流水线如图4所示。 图4 指令流水线 双击第一次出现R-Stall的指令行,如图5所示。

图5 指令详细信息 对以上出现的情况分析如下: 程序发生了数据相关,R-Stall(R-暂停)表示引起暂停的原因是RAW。 lbu r3,0×0(r2) 要在WB周期写回r3中的数据;而下一条指令 & seqi r5,r3,0×a 要在intEX周期中读取r3中的数据。 上述过程发生了WR冲突,即写读相关。为了避免此类冲突, seq r5,r4,0×a的intEX指令延迟了一个周期进行。 由此,相关指令为: 2)控制相关 由图6可以看出,在第4时钟周期:第一条指令处于MEM段,第二条命令处于intEX段,第三条指令出于aborted状态,第四条命令处于IF段。 图 6 指令流水线 }

北邮研究生 高级计算机系统结构

计算机系统结构的发展历程 课程:高级计算机系统结构 姓名: 学号: 班级:

2015年12月 一、计算机系统结构 随着当今社会和科技的飞速发展,自四十年代计算机问世以来,计算机科学更是发展迅速,应用领域不断扩展计算机的普及和广泛应用,现代社会正朝着高度信息化,自动化方向发展。计算机逐渐成为社会必不可少的支柱力量。 计算机系统是按人的要求接收和存储信息,自动进行数据处理和计算,并输出结果信息的机器系统。计算机是脑力的延伸和扩充,是近代科学的重大成就之一。计算机系统由硬件系统和软件系统组成。前者是借助电、磁、光、机械等原理构成的各种物理部件的有机组合,是系统赖以工作的实体。后者是各种程序和文件,用于指挥全系统按指定的要求进行工作。 而计算机系统结构是计算机的的机器语言程序员或编译程序编写者所看到的外特性。所谓外特性,就是计算机的概念性结构和功能特性,主要研究计算机系统的基本工作原理,以及在硬件、软件界面划分的权衡策略,建立完整的、系统的计算机软硬件整体概念。其也称为计算机体系结构,它是由计算机结构外特性,内特性,微外特性组成的。经典的计算机系统结构的定义是指计算机系统多级层次结构中机器语言机器级的结构,它是软件和硬件/固件的主要交界面,是由机器语言程序、汇编语言源程序和高级语言源程序翻译生成的机器语言目标程序能在机器上正确运行所应具有的界面结构和功能。 以最常见的冯诺依曼计算机为例,计算机系统结构包含了以下几个方面: 1.指令集架构(Instruction set architecture;简称ISA):被视为一种机器语言, 包含了许多相关的指令集(存储器定址、处理器控制,寄存器控制等等……)。 2.微体系结构/微架构(Microarchitecture)或称计算机组织(Computer

计算机组织与体系结构实验报告

《计算机组织与体系结构》 实验报告 学号: XXX 姓名:XXX 班级:XXX 指导教师:XXX 时间: 2013年01月 中国矿业大学计算机学院

目录 一基本运算器实验 (2) 1、实验目的 (2) 2、实验设备 (2) 3、实验原理 (2) 4、实验步骤 (3) 5、实验结果 (5) 5、实验体会 (5) 二微程序控制实验 (6) 1、实验目的 (6) 2、实验设备 (6) 3、实验原理 (6) 4、实验步骤 (12) 5、实验体会 (13) 三CPU与简单模型机设计实验 (13) 1、实验目的 (13) 2、实验设备 (13) 3、实验原理 (13) 4、实验步骤 (18) 5、实验流图 (21) 6、实验体会 (25)

实验一基本运算器实验 1. 实验目的 (1) 了解运算器的组成结构。 (2) 掌握运算器的工作原理。 2. 实验设备 PC机一台,TD-CMA实验系统一套。 3.实验原理 本实验的原理如下图所示: 运算器内部含有三个独立运算部件,分别为算术、逻辑和移位运算部件,要处理的数据存于暂存器A和暂存器B,三个部件同时接受来自A和B的数据(有些处理器体系结构把移位运算器放于算术和逻辑运算部件之前,如ARM),各部件对操作数进行何种运算由控制信号S3…S0和CN来决定,任何时候,多路选择开关只选择三部件中一个部件的结果作为ALU的输出。如果是影响进位的运算,还将置进位标志FC,在运算结果输出前,置ALU零标志。ALU中所有模块集成在一片FPGA中。 逻辑运算部件由逻辑门构成,较为简单,而后面又有专门的算术运算部件设计实验,在此对这两个部件不再赘述。移位运算采用的是桶形移位器,一般采用交叉开关矩阵来实现,交叉开关的原理如图1-1-2所示。图中显示的是一个4X4的矩阵(系统中是一个8X8的矩阵)。每一个输入都通过开关与一个输出相连,把沿对角线的开关导通,就可实现移位功能,即: (1) 对于逻辑左移或逻辑右移功能,将一条对角线的开关导通,这将所有的输入位与所使用的输出分别相连,而没有同任何输入相连的则输出连接0。 (2) 对于循环右移功能,右移对角线同互补的左移对角线一起激活。例如,在4位矩阵中使用‘右1’和‘左3’对角线来实现右循环1位。 (3) 对于未连接的输出位,移位时使用符号扩展或是0填充,具体由相应的指令控制。使用另外的逻辑进行移位总量译码和符号判别。

计算机系统结构发展历程及未来展望

计算机系统结构发展历程及未来展望 一、计算机体系结构 什么是体系结构 经典的关于“计算机体系结构(computer A 按照计算机系统的多级层次结构,不同级程序员所看到的计算机具有不同的属性。一般来说,低级机器的属性对于高层机器程序员基本是透明的,通常所说的计算机体 系结构主要指机器语言级机器的系统结构。计算机体系结构就是适当地组织在一起的 一系列系统元素的集合,这些系统元素互相配合、相互协作,通过对信息的处理而完 成预先定义的目标。通常包含的系统元素有:计算机软件、计算机硬件、人员、数据库、文档和过程。其中,软件是程序、数据库和相关文档的集合,用于实现所需要的 逻辑方法、过程或控制;硬件是提供计算能力的电子设备和提供外部世界功能的电子 机械设备(例如传感器、马达、水泵等);人员是硬件和软件的用户和操作者;数据库 是通过软件访问的大型的、有组织的信息集合;文档是描述系统使用方法的手册、表格、图形及其他描述性信息;过程是一系列步骤,它们定义了每个系统元素的特定使 用方法或系统驻留的过程性语境。 体系结构原理 计算机体系结构解决的是计算机系统在总体上、功能上需要解决的问题,它和计 算机组成、计算机实现是不同的概念。一种体系结构可能有多种组成,一种组成也可 能有多种物理实现。 计算机系统结构的逻辑实现,包括机器内部数据流和控制流的组成以及逻辑设计等。其目标是合理地把各种部件、设备组成计算机,以实现特定的系统结构,同时满足所 希望达到的性能价格比。一般而言,计算机组成研究的范围包括:确定数据通路的宽度、确定各种操作对功能部件的共享程度、确定专用的功能部件、确定功能部件的并 行度、设计缓冲和排队策略、设计控制机构和确定采用何种可靠技术等。计算机组成 的物理实现。包括处理机、主存等部件的物理结构,器件的集成度和速度,器件、模块、插件、底板的划分与连接,专用器件的设计,信号传输技术,电源、冷却及装配 等技术以及相关的制造工艺和技术。 主要研究内容 1·机内数据表示:硬件能直接辨识和操作的数据类型和格式 2·寻址方式:最小可寻址单位、寻址方式的种类、地址运算 3·寄存器组织:操作寄存器、变址寄存器、及专用寄存器的定义、数量和使用规则 4·:指令的操作类型、格式、指令间排序和控制机构 5·:最小编址单位、编址方式、容量、最大可编址空间 6·中断机构:中断类型、中断级别,以及中断响应方式等

计算机体系结构试题及答案版本

计算机体系结构试题及答案 1、计算机高性能发展受益于:(1) 电路技术的发展;(2) 计算机体系结构技术的发展。 2、层次结构:计算机系统可以按语言的功能划分为多级层次结构,每一层以不同的语言为特征。第六级:应用语言虚拟机-> 第五级:高级语言虚拟机-> 第四级:汇编语言虚拟机-> 第三级:操作系统虚拟机->第二级:机器语言(传统机器级) -> 第一级:微程序机器级。 3、计算机体系结构:程序员所看到的计算机的属性,即概括性结构与功能特性。 4、透明性:在计算机技术中,对本来存在的事物或属性,从某一角度来看又好像不存在的概念称为透明性。 5、Amdahl 提出的体系结构是指机器语言级程序员所看见的计算机属性。 6、经典计算机体系结构概念的实质3是计算机系统中软、硬件界面的确定,也就是指令集的设计,该界面之上由软件的功能实现,界面之下由硬件和固件的功能来实现。 7、计算机组织是计算机系统的逻辑实现;计算机实现是计算机系统的物理实现。

8、计算机体系结构、计算机组织、计算机实现的区别和联系? 答:一种体系结构可以有多种组成,一种组成可以有多种物理实现,体系结构包括对组织与实现的研究。 9、系列机:是指具有相同的体系结构但具有不同组织和实现的一系列不同型号的机器。 10、软件兼容:即同一个软件可以不加修改地运行于系统结构相同的 各机器,而且它们所获得的结果一样,差别只在于运行时间的不同。 11、兼容机:不同厂家生产的、具有相同体系结构的计算机。 12、向后兼容是软件兼容的根本特征,也是系列机的根本特征。 13、当今计算机领域市场可划分为:服务器、桌面系统、嵌入式计算三大领域。 14、摩尔定律:集成电路密度大约每两年翻一番。 15、定量分析技术基础(1)性能的评测:(a)响应时间:从事件开始到结束之间的时间;计算机完成某一任务所花费的全部时间。(b)流量:单位时间内所完成的工作量。(c )假定两台计算机x 、y;x 比y 快意思为:对于给定任务,x 的响应时间比y少。x的性能是y的几倍是指:响应时间x / 响应时间y = n ,响应时间与性能成反比。

计算机系统结构实验报告

计算机系统结构实验报告 一.流水线中的相关 实验目的: 1. 熟练掌握WinDLX模拟器的操作和使用,熟悉DLX指令集结构及其特点; 2. 加深对计算机流水线基本概念的理解; 3. 进一步了解DLX基本流水线各段的功能以及基本操作; 4. 加深对数据相关、结构相关的理解,了解这两类相关对CPU性能的影响; 5. 了解解决数据相关的方法,掌握如何使用定向技术来减少数据相关带来的暂停。 实验平台: WinDLX模拟器 实验内容和步骤: 1.用WinDLX模拟器执行下列三个程序: 求阶乘程序fact.s 求最大公倍数程序gcm.s 求素数程序prim.s 分别以步进、连续、设置断点的方式运行程序,观察程序在流水线中的执行情况,观察 CPU中寄存器和存储器的内容。熟练掌握WinDLX的操作和使用。 2. 用WinDLX运行程序structure_d.s,通过模拟找出存在资源相关的指令对以及导致资源相 关的部件;记录由资源相关引起的暂停时钟周期数,计算暂停时钟周期数占总执行周期数的 百分比;论述资源相关对CPU性能的影响,讨论解决资源相关的方法。 3. 在不采用定向技术的情况下(去掉Configuration菜单中Enable Forwarding选项前的勾选符),用WinDLX运行程序data_d.s。记录数据相关引起的暂停时钟周期数以及程序执行的 总时钟周期数,计算暂停时钟周期数占总执行周期数的百分比。 在采用定向技术的情况下(勾选Enable Forwarding),用WinDLX再次运行程序data_d.s。重复上述3中的工作,并计算采用定向技术后性能提高的倍数。 1. 求阶乘程序 用WinDLX模拟器执行求阶乘程序fact.s。这个程序说明浮点指令的使用。该程序从标准 输入读入一个整数,求其阶乘,然后将结果输出。 该程序中调用了input.s中的输入子程序,这个子程序用于读入正整数。 实验结果: 在载入fact.s和input.s之后,不设置任何断点运行。 a.不采用重新定向技术,我们得到的结果

北邮计算机系统结构实验报告-实验一到五-WINDLX模拟器

北京邮电大学 实验报告 课程名称计算机系统结构 计算机学院03班 王陈(11)

目录 实验一WINDLX模拟器安装及使用......................................... 错误!未定义书签。 ·实验准备................................................................................ 错误!未定义书签。 ·实验环境................................................................................ 错误!未定义书签。 ·实验步骤................................................................................ 错误!未定义书签。 ·实验内容及要求.................................................................... 错误!未定义书签。 ·实验过程............................................................................. 错误!未定义书签。 ·实验总结............................................................................. 错误!未定义书签。实验二指令流水线相关性分析 ............................................... 错误!未定义书签。 ·实验目的............................................................................. 错误!未定义书签。 ·实验环境................................................................................ 错误!未定义书签。 ·实验步骤................................................................................ 错误!未定义书签。 ·实验过程............................................................................. 错误!未定义书签。 ·实验总结............................................................................. 错误!未定义书签。实验三DLX处理器程序设计 .................................................... 错误!未定义书签。 ·实验目的............................................................................. 错误!未定义书签。 ·实验环境................................................................................ 错误!未定义书签。 ·实验步骤................................................................................ 错误!未定义书签。 ·实验过程............................................................................. 错误!未定义书签。 A.向量加法代码及性能分析 ................................................... 错误!未定义书签。 B.双精度浮点加法求和代码及结果分析 .............................. 错误!未定义书签。 ·实验总结............................................................................. 错误!未定义书签。实验四代码优化 ....................................................................... 错误!未定义书签。 ·实验目的............................................................................. 错误!未定义书签。 ·实验环境................................................................................ 错误!未定义书签。 ·实验原理................................................................................ 错误!未定义书签。 ·实验步骤................................................................................ 错误!未定义书签。 ·实验过程............................................................................. 错误!未定义书签。 ·实验总结+实习体会........................................................... 错误!未定义书签。实验五循环展开 ....................................................................... 错误!未定义书签。 ·实验目的............................................................................. 错误!未定义书签。 ·实验环境................................................................................ 错误!未定义书签。 ·实验原理................................................................................ 错误!未定义书签。 ·实验步骤................................................................................ 错误!未定义书签。 ·实验过程............................................................................. 错误!未定义书签。 矩阵乘程序代码清单及注释说明........................................... 错误!未定义书签。 相关性分析结果........................................................................... 错误!未定义书签。 增加浮点运算部件对性能的影响........................................... 错误!未定义书签。 增加forward部件对性能的影响 ............................................ 错误!未定义书签。 转移指令在转移成功和转移不成功时候的流水线开销 .. 错误!未定义书签。 ·实验总结+实习体会+课程建议......................................... 错误!未定义书签。

计算机系统结构实验指导书-14

北京邮电大学 计算机学院 计算机系统结构实验指导书 王春露邝坚编著 2007.3 – 2013.4

目录z计算机系统结构实验简介 z DLX处理器简介 1. 实验一WINDLX模拟器安装及使用 2. 实验二指令流水线相关性分析 3. 实验三DLX处理器程序设计 4. 实验四代码优化 5. 实验五循环展开(选作)

计算机系统结构实验简介 DLX是一个虚拟处理器。该处理器是加州大学伯克利分校计算机系JohnL .H ennessy教授和斯坦福大学计算机系David A. Patterson教授在其《计算机体系结构:一种定量的方法》一书中提出的。该处理器反映了新一代处理器的特点。通过了解DLX处理器的结构和工作原理,并利用DLX模拟器进行实验,可以帮助学生综合地了解和运用有关处理器指令系统的设计、流水线的设计与实现等方面的知识,有助于计算机系统结构课程内容的理解。 DLX处理器简介 第一节 DLX基本结构 DLX是一种典型的Load/Store型指令集结构。它不仅体现了当今多种机器的指令集结构的共同特点,而且它还体现出未来一些机器的指令集结构的特点。这些机器的指令集结构设计思想都和DLX指令集结构的设计思想十分相似,它们都强调: (1) 具有一套简单的Load/Store指令集; (2) 注重指令流水效率; (3) 简化指令的译码; (4) 高效支持编译器。 DLX是一种易于学习和研究的处理器结构模型。这种类型的机器正在日趋流行,而且其结构非常易于理解。 1.DLX中的寄存器 DLX中有32个通用寄存器(GPRs),分别将其命名为R0,R1…R31。每个通用寄存器长度为32位。 另外,DLX中有32个浮点寄存器(FPRs),分别将其命名为F0,F1…F31。每个浮点寄存器长度为32位。这些浮点寄存器可以用来保存32位的单精度浮点数,或者通过相邻两个浮点寄存器奇偶对FiFi+1(i=0,2,4…,30)来保存双精度浮点数,这种组合而成的64位双精度浮点寄存器在DLX中分别被命名为F0,F2…F28,F30. 2. DLX数据类型 DLX提供了多种长度的整型数据和浮点数据。对整型数据而言,有8位,16位,32位多种长度;对浮点而言,有32位单精度浮点数和64位双精度浮点数。浮点数据表示采用的是IEEE754标准。DLX操作都是对32位整型数据及32或64位浮点数据进行的。 3.DLX的寻址方式和数据传送 DLX提供了寄存器寻址,立即寻址,偏移寻址和寄存器间接寻址四种寻址方式。寄存器寻址字段的大小为5位,用来标识32个通用寄存器或浮点寄存器。

计算机系统结构实验1预习报告

计算机系统结构实验 实验1:MIPS指令系统和MIPS体系结构 (预习报告) 姓名: 学号: 班级:

大连理工大学实验预习报告 学院:______________________专业:_______________________班级:_____________________ 姓名:______________________学号:_______________________ 实验时间:__________________实验室:__________________实验台:__________________ 指导老师签字:_________________________________________成绩:____________________ 实验目的: 了解熟悉MIPSsim模拟器; 熟悉MIPS指令系统及其特点; 熟悉MIPS体系结构 实验平台: 指令级和流水线操作级模拟器MIPSsim 资料准备: MIPS64指令系统介绍 1.MIPS的寄存器 32个64位通用寄存器(GPRs整数寄存器):R0-R31。R0的值永远是0。 32个64位浮点数寄存器FPRs:F0-F31。它们可以存放32个单精度浮点数(32位),也可以存放32个双精度浮点数(64位)。 MIPS提供了单精度和双精度操作的指令,而且还提供了在FPRs和GPRs之间传送数据的指令。2.MIPS的数据表示

整数:字节(8位)、半字(16位)、字(32位)和双字(64位)。 浮点数:单精度浮点数(32位)和双精度浮点数(64位)。 MIPS64的操作是针对64位整数以及32位或64位浮点数进行的。字节、半字或字在装入64位寄存器时,用零扩展或者用符号位扩展来填充该寄存器的剩余部分。装入以后,对它们按照64位整数的方式进行运算。 3.MIPS的数据寻址方式 MIPS的数据寻址方式只有立即数寻址和偏移量寻址两种,立即数字段和偏移量字段都是16位。 寄存器间接寻址是通过把0作为偏移量来实现的,16位绝对寻址是通过把R0作为基址寄存器来完成的。 MIPS的存储器是按字节寻址的,地址是64位。由于MIPS是load-store结构,寄存器和存储器之间的数据传送都是通过load指令和store指令来完成的。所有存储器访问都必须边界对齐。 4.MIPS的指令格式 指令格式简单,其中操作码6位。按不同类型的指令设置不同的格式,共有3种格式,分别对应I指令、R指令和J指令。在这3种格式中,同名字段的位置固定不变。 I类指令 包括所有的load和store指令、立即数指令、分支指令、寄存器跳转指令、寄存器链接跳转指令。其中立即数字段位16位,用于提供立即数或偏移量。 1)load指令 2)store指令 3)立即数指令 4)分支指令 5)寄存器跳转、寄存器跳转并链接

计算机体系结构实验报告二

实验二结构相关 一、实验目的: 通过本实验,加深对结构相关的理解,了解结构相关对CPU性能的影响。 二、实验内容: 1. 用WinDLX模拟器运行程序structure_d.s 。 2. 通过模拟,找出存在结构相关的指令对以及导致结构相关的部件。 3. 记录由结构相关引起的暂停时钟周期数,计算暂停时钟周期数占总执行 周期数的百分比。 4. 论述结构相关对CPU性能的影响,讨论解决结构相关的方法。 三、实验程序structure_d.s LHI R2, (A>>16)&0xFFFF 数据相关 ADDUI R2, R2, A&0xFFFF LHI R3, (B>>16)&0xFFFF ADDUI R3, R3, B&0xFFFF ADDU R4, R0, R3 loop: LD F0, 0(R2) LD F4, 0(R3) ADDD F0, F0, F4 ;浮点运算,两个周期,结构相关 ADDD F2, F0, F2 ; <- A stall is found (an example of how to answer your questions) ADDI R2, R2, #8 ADDI R3, R3, #8 SUB R5, R4, R2 BNEZ R5, loop ;条件跳转 TRAP #0 ;; Exit <- this is a comment !! A: .double 1, 2, 3, 4, 5, 6, 7, 8, 9, 10 B: .double 1, 2, 3, 4, 5, 6, 7, 8, 9, 10

四、实验过程 打开软件,load structure_d.s文件,进行单步运行。经过分析,此程序一 次循环中共有五次结构相关。(R-stall 数据相关Stall- 结构相关) 1)第一个结构相关:addd f2,,f0,f2 由于前面的数据相关,导致上一条指令addd f0,f0,f4暂停在ID阶段,所以下一条指令addd f2,,f0,f2发生结构相关,导致相关的部件:译码部件。 2)第二个结构相关:ADDI R2, R2, #8,与第一个结构相关类似。由于数据相关, 上一条指令暂停在ID阶段,所以导致下一条指令发生结构相关。

计算机系统结构的研究现状

计算机系统结构的研究现状 课程:计算机系统结构 学号: 班级: 姓名:

计算机体系结构是选择并相互连接硬件组件的一门科学和艺术,在人们不断探索研究的过程中,一直在追求计算机的功能、性能、功率以及花费的高度协调,以期达到各方面的最佳状态,在花费、能量、可用性的抑制下,实现计算机的多功能、高性能、低功率、少花费的一个新时代。根据当前体系结构的发展现状,要实现以上全部要求的一台计算机,还存在着诸多的限制条件,包括逻辑上的以及硬件上的。计算机的体系结构范围很广,定义也很宽泛,它包含了指令集的设计、组织、硬件与软件的边界问题等等,同时涉及了应用程序、技术、并行性、编程语言、接口、编译、操作系统等很多方面。作为各项技术发展的中心,体系结构一直在不断地朝前发展。 纵观计算机体系结构一路发展的历史,从60年代中期以前,最早的体系结构发展的早期时代,计算机系统的硬件发展很快,通用硬件已经很普遍,但是软件的发展却很滞后,刚刚起步,还没有通用软件的概念。从60年代中期到70年代中期,体系结构有了很大进步。多道程序、多用户系统引入了人机交互的新概念,开创了计算机应用的新境界,使硬件和软件的配合上了一个新的层次,但是此时的软件由于个体化特性很难维护,出现了“软件危机”。从20世纪70年代中期开始,分布式系统开始出现并流行,极大地增加了系统的复杂性,出现了微处理器并获得了广泛应用。如今计算机的体系结构发展已经进入了第四代,硬件和软件得到了极大的综合利用,迅速地从集中的主机环境转变成分布的客户机/服务器(或浏览器/服务器)环境,新的技术不断涌现出来。尽管如此,计算机在总体上、功能上需要解决的问题仍然存在。随着RISC技术、Cache等创新技术的发展,不仅仅在专业领域,越来越多的PC机也在向此靠拢。在每一次进步与创新的同时使组件的成本降到最低成为最需要考虑的问题。 计算机科学与技术是一门实用性很强、发展极其迅速的面向广大社会的技术学科,它建立在数学、电子学 (特别是微电子学)、磁学、光学、精密机械等多门学科的基础之上。但是,它并不是简单地应用某些学科的知识,而是经过高度综合形成一整套有关信息表示、变换、存储、处理、控制和利用的理论、方法和技术。 计算机科学是研究计算机及其周围各种现象与规模的科学,主要包括理论计算机科学、计算机系统结构、软件和人工智能等。计算机技术则泛指计算机领域中所应用的技术方法和技术手段,包括计算机的系统技术、软件技术、部件技术、器件技术和组装技术等。计算机科学与技术包括五个分支学科,即理论计算机科学、计算机系统结构、计算机组织与实现、 理论计算机科学包括自动机论、形式语言理论、程序理论、算法分析,以及计算复杂性理论等。自动机是现实自动计算机的数学模型,或者说是现实计算机程序的模型,自动机理论的任务就在于研究这种抽象机器的模型;程序设计语言是一种形式语言,形式语言理论根据语言表达能力的强弱分为O~3型语言,与图灵机等四类自动机逐一对应;程序理论是研究程序逻辑、程序复杂性、程序正确性证明、程序验证、程序综合、形式语言学,以及程序设计方法的理论基础;算法分析研究各种特定算法的性质。计算复杂性理论研究算法复杂性的一般性质。

大连理工大学计算机系统结构实验-实验四

大连理工大学实验报告计算机系统结构实验 实验四Cache性能分析 学院(系):电子信息与电气工程学部专业:计算机科学与技术 学生姓名: 班级: 学号: 大连理工大学 Dalian University of Technology

实验四Cache性能分析 一、实验目的和要求 (1)加深对Cache的基本概念、基本组织结构以及基本工作原理的理解。 (2)掌握Cache容量、相联度、块大小对Cache性能的影响。 (3)掌握降低Cache不命中率的各种方法以及这些方法对提高Cache性能的好处。 (4)理解LRU与随机法的基本思想以及它们对Cache性能的影响。 二、实验步骤与操作方法 1、Cache容量对不命中率的影响。 (1)启动MyCache。 (2)用鼠标单击“复位”按钮,把各参数设置为默认值。 (3)选择一个地址流文件。方法:选择“访问地址”—>“地址流文件”选项,然后单击“浏览”按钮,从本模拟器所在文件夹下的“地址流”文件夹中选取。 (4)选择不同的Cache容量,包括2KB、4KB、8KB、16KB、32KB、64KB、128KB和256KB。分别执行模拟器(单击“执行到底”按钮即可执行),然后在下表中记录各种情况下的不命中率。 表不同容量下Cache的不命中率 (5)以容量为横坐标,画出不命中率随Cache容量变化而变化的曲线,并指明地址流文件名。

(6)根据该模拟结果,你能得出什么结论? 答:随着Cache容量的增大,不命中率降低,但是降低的幅度由较大差别,Cache容 量足够大以后,不命中率降到一定程度以后,降低效果不再明显。 2.相联度对不命中率的影响 (1)用鼠标单击“复位”按钮,把各参数设置为默认值。此时的Cache容量为64KB。 (2)选择一个地址流文件。 (3)选择不同的Cache相联度,包括2路、4路、8路、16路和32路。分别执行模拟器,然后在下表中记录各种情况下的不命中率。 表当容量为64KB时,不同相联度下Cache的不命中率 (4)把Cache的容量设置为256KB,重复(3)的工作,并填写下表。 表当容量为256KB时,不同相联度下Cache的不命中率 (5)以相联度为横坐标,画出在64KB和256KB的情况下不命中率随Cache相联度变化而变化的曲线,并指明地址流文件名。

计算机系统结构实验教程

实验一流水线及流水线中的冲突 实验目的 1.加深对计算机流水线基本概念的理解; 2.理解MIPS结构如何用5段流水线来实现,理解各段的功能和基本操作; 3.加深对数据冲突、结构冲突的理解,理解这两类冲突对CPU性能的影响; 4.进一步理解解决数据冲突的方法,掌握如何应用定向技术来减少数据冲突引起的停顿。 5.加深对指令调度和延迟分支技术的理解; 6.熟练掌握用指令调度技术来解决流水线中的数据冲突的方法; 7.进一步理解指令调度技术和延迟分支技术对CPU性能的改进。 实验平台 指令级和流水线操作级模拟器MIPSsim, 实验内容和步骤 首先要掌握MIPSsim模拟器的使用方法。 一、流水线及流水线中的冲突观察 1. 启动MIPSsim。 2.根据预备知识中关于流水线各段操作的描述,进一步理解流水线窗口中各段的功能,掌握各流水寄存器的含义。(用鼠标双击各段,就可以看到各流水寄存器的内容) 3. 熟悉MIPSsim模拟器的操作和使用方法。 可以先载入一个样例程序(在本模拟器所在的文件夹下的“样例程序”文件夹中),然后分别以单步执行一个周期、执行多个周期、连续执行、设置断点等的方式运行程序,观察程序的执行情况,观察CPU中寄存器和存储器的内容的变化,特别是流水寄存器内容的变化。 4. 勾选配置菜单中的“流水方式”,使模拟器工作于流水方式下。 5.观察程序在流水线中的执行情况,步骤如下: (1)用MIPSsim的“文件”菜单中的“载入程序”来加载pipeline.s(在模拟器所在文 件夹下的“样例程序”文件夹中); (2)关闭定向功能。这是通过在“配置”菜单中去选“定向”(即使得该项前面没有“√” 号)来实现的; (3)用单步执行一周期的方式(“执行”菜单中,或用F7)执行该程序,观察每一周 期中,各段流水寄存器内容的变化、指令的执行情况(代码窗口)以及时钟周期 图; (4)当执行到第10个时钟周期时,各段分别正在处理的指令是: IF: ID:

计算机系统结构论文

计算机系统结构论文 计算机系统结构中多处理机技术 摘要:多处理机通过共享的主存或输入/输出子系统或高速通信网络进行通信。利用多台处理机进行多任务处理,协同求解一个大而复杂的问题来提高速度,或者依靠冗余的处理机及其重组能力来提高系统的可靠性、适应性和可用行。该文介绍了微处理器的发展、多处理机的总线以及处理机系统中通信和存储技术的发展和两种特殊的多处理机系统结构。 关键词:多处理机;体系结构;总线 微电子技术和封装技术的进步,使得高性能的VLSI 微处理器得以大批量生产,性能价格比不断合理,这为并行多处理机的发展奠定了重要的物质基础。 计算机系统性能增长的根本因素有两个:一是微电子技术,另一个是计算机体系结构技术。五十年代以来,人们先后采用了先行控制技术、流水线技术、增加功能部件甚至多机技术、存储寻址和管理能力的扩充、功能分布的强化、各种互联网络的拓扑结构以及支持多道、多任务的软件技术等一系列并行处理技术,提高计算机处理速度,增强系统性能。多处理机体系结构是计算机体系结构发展中的一个重要内容,已成为并行计算机发展中人们最关注的结构。

1 微处理器的发展 20 世纪80 年代中期,RISC 精简指令集计算机,用20%指令的组合实现了CISC 计算机指令系统不常用的80%指令的功能。在提高性能方面,RISC 采用了超级流水线、超级标量、超长指令字并行处理结构;多级指令Cache;编译优化等技术,充分利用RISC 的内部资源,发挥其内部操作的并行性,从而提高流水线的执行效率。20 世纪80 年代后期,RISC 处理机的性能指标几乎以每年翻一番的速度发展,它对于提高计算机系统的性能和应用水平起着巨大的作用。 目前,由Intel 和HP 两家公司联合开发的基于IA—64 架构的Merced 芯片,并由其共同定义的显式并行指令计算技术EPIC(Explicitly Parallel Instruction Computing ),将为微处理器技术的发展带来突破性进展。EPIC 技术主要指编译器在微处理器执行指令之前就对整个程序的代码作出优化安排,编译器分析指令间的依赖关系,将没有依赖关系的指令(最多3 个)组成一“组”,由Merced内置的执行单元读入被分成组的指令群并执行。从理论上讲,EPIC 可以并行执行3 倍于执行单元数的指令。64 位体系结构的Merced 芯片还采用了指令预测、数据预装等技术,可以显著地减少实际执行程序的长度,同时增强语句执行的并行性,经过代码的重组,程序的执行时间比基于传统体系结构

计算机体系结构的研究重点与发展方向分析

计算机体系结构的研究重点与发展方向分析 【摘要】:本文首先简要说明了现代计算机的两种主要体系结构CISC 体系和RISC 体系,指出了基于冯·诺伊曼体系结构的现代计算机体系存在的问题及研究重点,并展望了未来计算机体系的发展方向。 【关键词】:体系结构;CIST 体系;RISC 体系 一、引言 计算机体系结构主要指计算机的系统化设计和构造,不同的计算机体系结构适用于不同的需求或应用。现代计算机的两种主要体系结构是CISC 体系和RISC 体系。其中RISC是近20 年的研究主流。而随着计算机应用的普及,RISC 结构也出现了许多与以多媒体处理和个人移动计算机为主要内容的应用趋势的不协调。为了消除这些不协调,未来计算机体系结构将会向什么方向发展呢?本文将对这些问题进行阐述和说明。 二、两种主要的计算机体系结构说明 当今的计算机体系结构,从传统意义指令界面上来看基本划分成两大类:一类是CISC 体系结构,如INTEL 的X86芯片,另一类是RISC 体系结构,如SPARC、MIPS、POWERPC、等。不管是CISC 体系结构还是RISC 体系结构,人们在计算机体系结构的设计上均追求两方面的目标: 1.面向应用(软件)描述方面 设计的计算机体系在面向应用(软件)描述方面使得自己的指令语义层次比较高,这点CISC 较为明显,因为它有许多指令可以直接支持高级语言的语义。而RISC 则比较隐蔽,它是靠精简指令的优化编译(即通过若干条精简指令有机组合)来支持高级语言的语义。2.面向应用处理方面 设计的计算机体系在面向应用处理方面,使得自己的指令处理速度明显提高,进而加速应用处理的速度。这点RISC表现的比较明显,因为它的指令硬件译码直接实现和采用流水线技术等大大提高了它的处理速度,而在CISC 中,当初增加硬件的资源支持复杂的高层次的语义的指令,本身就意味着提高应用的处理速度。 在过去的20 年里,RISC 技术不断发展,逐渐取代C1SC成为工作站和服务器的主流技术。RISC 计算机以超标量、流水线、乱序执行、预取指令/数据、分支预测和指令取消等硬件技术和相应的基于编译器的优化技术为核心,利用指令级并行,在高端工作站和服务器领域占据了绝对的统治地位。 三、当前计算机体系结构存在的问题 60 多年来,冯·诺伊曼体系结构为世界众多的科学家精心地构造,从而创造了今天的计算机世界。冯·诺伊曼的伟大发明,其核心有三点:(1)二进制;(2)存储模型;(3)一个时刻只有一个操作的串行机制。 虽然在计算机界,众多的国家专家在研究多值理论,但至今几乎没有突破二进制的体系。人们利用了很多存储管理的软件技术和方法,力图将一维的存储模型改为二维的,但仍然没

相关主题
文本预览
相关文档 最新文档