当前位置:文档之家› PS2键盘控制模型电子琴电路设计

PS2键盘控制模型电子琴电路设计

PS2键盘控制模型电子琴电路设计
PS2键盘控制模型电子琴电路设计

EDA自主设计报告

(2009级)

题目PS2键盘控制模型电子琴设计与实现学院电子信息学院

专业电子信息工程

班级09047511、09047512

学号0904116、09041216

学生姓名郭鹰鸿、阮宇静

指导教师黄继业

完成日期2010年06月

目录

一、引言 (3)

1.PS2背景

2.PS2键盘通信

3.电子琴的设计目的及意义

4.VGA原理

二、PS2键盘控制模型电子琴顶层电路的设计

(5)

三、PS2键盘控制电子琴各模块的设计与仿真

1.锁相环及分频器fdiv的设计仿真 (7)

2.PS2通信模块的设计 (8)

3.模块CODE3的设计仿真 (9)

4.模块F_CODE的设计 (12)

5.模块DECL7S的设计仿真 (15)

6.模块RAM的设计 (16)

7.模块ROM的设计 (17)

8.模块shizhong的设计 (19)

9.模块VGA的设计 (20)

10.模块SPEAK的设计 (21)

11.MUX21的设计仿真 (22)

四、PS2键盘控制模型电子琴的硬件测试

(23)

五、结论

(24)

六、致谢

(25)

参考文献

引言

一、本课题研究内容与意义:

1.PS2背景:

PS2协议:PC机最常采用的PS2借口为min-DIN 6pin的连接器,如图1所示:

PS2设备有主从之分,主设备采用Female插座,从设备采用Male插头,现在广泛实用的PS2键盘鼠标均在从设备方式下工作。PS2接口的时钟与数据线都是集电极开路结构。主从设备之间数据通信采用双向同步串行方式传输,时钟信号由从设备产生。

a.从设备到主设备的通信

当从设备向主设备发送数据时,首先检查时钟线,以确认时钟线是否为高电平。如果是高电平,从设备就可以开始传输数据;反之,从设备要等待获得总线的控制权,才能开始传输数据。传输的每一帧由11位组成,发送时序及每一位的含义如图2所示。

每一帧数据中开始位总是为0,数据校验采用奇校验方式,停止位始终为1。从设备到主设备通信时,从设备总是在时钟线为高时改变数据线状态,主设备在时钟下降沿读入数据线状态。如表1所示:

2.PS2键盘通信

目前,键盘有许多种,PS2键盘是当今PC机最常用的种类,这里仅涉及最常用的键盘,也就是6引脚发送数据的键盘。键盘通信时需要四根连接线:电源线、地线、时钟线CLK、数据线DA TA。

DA TA和CLK是可双向通信的I/O线,也就是说,通过这两根线,即可以把主机的数据发送到PS2设备,又可以把设备的数据发向主机。在无键按下时,DA TA和CLK 一直处于高电平状态。但有键按下时,键盘先检查CLK,看它是否处于处于高电平,如果是处在低电平,说明主机无空闲接受数据,这时键盘将会把数据放在自己的缓冲区,直到CLK重新被拉高。键盘CLK首先产生下降沿,这时已在数据线上产生信号,如:起始位总是为0,之后每一个时钟周期时钟电平为高时数据线上加将要输出的电平,在时钟的下降沿它将被主机读取。

3.电子琴的设计目的及意义

从古代到现代,音乐一直是人类一种重要的娱乐和释放方式,陶冶情操,丰富生活。所以设计出一个电子琴,可以让人们抒发心中的感情,陶冶情操,更好的体会生活的乐趣。另外,这也可以作为儿童音乐启蒙的玩具,所以此电子琴的设计意义重大。

4.VGA原理

扫描是从屏幕的左上方开始的,从左到右、从上到下地进行扫描。每扫描完一行,电子束就会回到屏幕左边下一行的起始位置。在这期间,CRT对电子束进行消隐。每行结束时,用行同步信号进行行同步。扫描完所有行后,用场同步信号进行场同步,并使扫描回到屏幕的左上方,同时进行场消隐,预备下一次的扫描。

PS2键盘控制模型电子琴顶层电路的设计

如图所示,该图是电子琴顶层设计电路。按实现功能电路主要分成这几个模块:

1)锁相环、用宏模块搭建的22位.23位.24位计数器、用V erilog写的时钟分频

模块fdiv:

①提供25MHz的时钟信号驱动VGA显示

②与24位计数器结合提供1Hz给数字时钟用以计时

③与23位计数器结合提供2MHz给CNT138T与rom_qupu以控制节奏

④与22位计数器结合提供4MHz给cnt10b以控制RAM的地址

⑤与fdiv结合提供1MHz给SPEAK

2)模块PS2_2:PS2通信模块,将键盘输出码转换为ASCII码,只处理字母

3)二进制编码器CODE3:

根据键盘码的ASCII码,用verilog写高、中、低三个音阶的分别对应的键盘字母代码,与F_CODE模块相对应,以此来扩大音域

4)模块F_CODE:

①模块F_CODE的功能首先是为模块SPKER提供决定所发音符的分频预置数,而此数在SPKER输入口停留的时间即为此音符的节拍长度。

②同时,F_CODE把CODE3中音符的代码转化为音符频率所对应的数值

③与模块DECL7S结合显示音符

④H[3..0]显示0,1,2表示高、中、低三个音阶

5)模块DECL7S:

7段译码显示模块,在数码管上显示1,2,3,4,5,6,7音符

6)模块RAM:

与模块cnt10b结合记录弹奏的乐曲

7)模块ROM:

与模块cnt138b结合可自动播放储存的音乐

8)模块shizhong:

可记录弹奏时间

9)模块VGA:

显示按键的位置,当音乐响时同步显示对应的音的键盘位置

10)模块SPEAK

输出每一个音符的音调

11)模块MUX21

选择播放RAM中的音乐或ROM中的储存音乐

PS2键盘控制电子琴各模块的设计与仿真1.锁相环及分频器fdiv的设计仿真

2. PS2通信模块的设计

PS2通信模块verilog程序:

module PS2_2(clk, rst, ps2_clk, ps2_data ,data2,q,ps2_asci); input clk, rst, ps2_clk , ps2_data;

output reg[7:0] ps2_asci;

output [10:0] data2;

output reg q;

reg [3:0] i;

reg [10:0] data2;

reg [2:0] ps2_clkr;

always @(posedge clk)

ps2_clkr <= {ps2_clkr[1:0], ps2_clk};

wire ps2_clk_risingedge = (ps2_clkr[2:1]==2'b01);

wire ps2_clk_fallingedge = (ps2_clkr[2:1]==2'b10);

always @(posedge clk)

if(rst)

begin

q<=0;

i <= 0;

end

else

begin

if(ps2_clk_fallingedge)

begin

i <= i+1;

q<=1+q;

data2[i] <= ps2_data; if(i>=10) i<=0;

if(data2[9]==0) begin

if(data2[8:1]==8'h1b|

data2[8:1]==8'h2b|

data2[8:1]==8'h33|

data2[8:1]==8'h1d| data2[8:1]==8'h24|

data2[8:1]==8'h2d|

data2[8:1]==8'h35| data2[8:1]==8'h3c| data2[8:1]==8'h22| data2[8:1]==8'h21|

data2[8:1]==8'h3a)begin data2[8:1]<=0; end end

if(data2[10]==0)begin data2[8:1]<=0; end

if(data2==11'b11111100000) begin

data2<=11'b0; end end end

always @ (data2) begin

case (data2[8:1])

8'h15: ps2_asci <= 8'h51; //Q 8'h1d: ps2_asci <= 8'h57; //W

8'h24: ps2_asci <= 8'h45; //E

8'h2d: ps2_asci <= 8'h52; //R

8'h2c: ps2_asci <= 8'h54; //T 8'h35: ps2_asci <= 8'h59; //Y 8'h3c: ps2_asci <= 8'h55; //U 8'h43: ps2_asci <= 8'h49; //I 8'h44: ps2_asci <= 8'h4f; //O

8'h4d: ps2_asci <= 8'h50; //P 8'h1c: ps2_asci <= 8'h41; //A

其中,由于此程序在硬件上测试时,会出现在按一些键之后放开按键,声音不停的现象,特加入上述

红色字体程序,使按键更加的合理化。 左边程序中的ps2_asci 表示把按键

输出码转换为ASCII 码后所接收

数据的相应ASCII 码,只处理字母

部分

8'h1b: ps2_asci <= 8'h53; //S

8'h23: ps2_asci <= 8'h44; //D

8'h2b: ps2_asci <= 8'h46; //F

8'h34: ps2_asci <= 8'h47; //G

8'h33: ps2_asci <= 8'h48; //H

8'h3b: ps2_asci <= 8'h4a; //J

8'h42: ps2_asci <= 8'h4b; //K

8'h4b: ps2_asci <= 8'h4c; //L

8'h1a: ps2_asci <= 8'h5a; //Z

8'h22: ps2_asci <= 8'h58; //X

8'h21: ps2_asci <= 8'h43; //C

8'h2a: ps2_asci <= 8'h56; //V

8'h32: ps2_asci <= 8'h42; //B

8'h31: ps2_asci <= 8'h4e; //N

8'h3a: ps2_asci <= 8'h4d; //M

default: ps2_asci<=8'h00;

endcase

end

endmodule

3.模块CODE3的设计仿真

二进制编码器CODE3的verilog部分程序:module CODE3(DIN,KEY);

input [7:0] DIN;

output [4:0] KEY;

reg [4:0] KEY;

always@(DIN,KEY)

begin

case(DIN[7:0])

8'H51:KEY<=5'b00001;//Q,L,1

8'H57:KEY<=5'b00010;//W,L,2

8'H45:KEY<=5'b00011;//E,L,3

8'H52:KEY<=5'b00100;//R,L,4

8'H54:KEY<=5'b00101;//T,L,5

8'H59:KEY<=5'b00110;//Y,L,6

8'H55:KEY<=5'b00111;//U,L,7

8'H41:KEY<=5'b01001;//A,M,1,9

8'H53:KEY<=5'b01010;//S,M,2,10

8'H44:KEY<=5'b01011;//D,M,3,11

8'H46:KEY<=5'b01100;//F,M,4,12

8'H47:KEY<=5'b01101;//G,M,5,13

8'H48:KEY<=5'b01110;//H,M,6,14

8'H4a:KEY<=5'b01111;//J,M,7,15

8'H5a:KEY<=5'b11001;//Z,H,1,25

8'H58:KEY<=5'b11010;//X,H,2,26

8'H43:KEY<=5'b11011;//C,H,3,27

8'H56:KEY<=5'b11100;//V,H,4,28

8'H42:KEY<=5'b11101;//B,H,5,29

8'H4e:KEY<=5'b11110;//N,H,6,30

8'H4d:KEY<=5'b11111;//M,H,7,31

default:KEY<=5'b00000;

endcase

end

endmodule

其中,KEY被赋值的前

两个数字

00表示低音阶

01表示中音阶

11表示高音阶

按键字母,音阶,音符对应的地址

4.模块F_CODE

的设计

F_CODEverilog 部分程序 :

module F_CODE(INX,CODE,H,TO); input [4:0] INX; output reg [3:0] CODE; output reg [3:0] H; output reg [10:0] TO;

always@(INX) begin case(INX) 5'b00001:begin H<=4'b0000;

CODE<=1;

TO<=11'H305; end 5'b00010:begin H<=4'b0000; CODE<=2;

TO<=11'H390;

end 5'b00011:begin H<=4'b0000; CODE<=3;

TO<=11'H40C;

end 5'b00100:begin H<=4'b0000; CODE<=4;

TO<=11'H45C;

end 5'b00101:begin H<=4'b0000;

CODE<=5;

TO<=11'H4AD; end

5'b00110:begin

CODE 表示音符 H 表示音阶

TO 表示11位预置数,对应各个音阶的音符的频率

H<=0000表示低音 H<=0001表示中音 H<=0010表示高音

H<=4'b0000;

CODE<=6;

TO<=11'H 50A;

end

5'b00111:begin

H<=4'b0000;

CODE<=7;

TO<=11'H55C;

end

5'b01001:begin

H<=4'b0001;

CODE<=1;

TO<=11'H58C;

end

5'b01010:begin

H<=4'b0001;

CODE<=2;

TO<=11'H5C8;

end

5'b01011:begin

H<=4'b0001;

CODE<=3;

TO<=11'H606;

end

5'b01100:begin

H<=4'b0001;

CODE<=4;

TO<=11'H630;

end

5'b01101:begin

H<=4'b0001;

CODE<=5;

TO<=11'H656;

end

5'b01110:begin

H<=4'b0001;

CODE<=6;

TO<=11'H684;

end

5'b01111:begin

H<=4'b0001;

CODE<=7;

TO<=11'H69A;

end

5'b11001:begin

H<=4'b0010;

CODE<=1;

TO<=11'H6C0;

end

5'b11010:begin

H<=4'b0010;

CODE<=2;

TO<=11'H6D6;

end

5'b11011:begin

H<=4'b0010;

CODE<=3;

TO<=11'H6EA;

end

5'b11100:begin

H<=4'b0010;

CODE<=4;

TO<=11'H6FE;

end

5'b11101:begin

H<=4'b0010;

CODE<=5;

TO<=11'H717;

end

5'b11110:begin

H<=4'b0010;

CODE<=6;

TO<=11'H726;

end

5'b11111:begin

H<=4'b0010;

CODE<=7;

TO<=11'H78A;

end

default:begin

H<=4'b0000;

CODE<=0;

TO<=11'H7FF;

end

endcase

end

endmodule

5.模块DECL7S的设计仿真

DECL7S的verilog程序:

module DECL7S(A,LED7S);

input [3:0] A;

output [6:0] LED7S;

reg [6:0] LED7S;

always@(A)

begin

case(A)

4'b0000:LED7S<=7'b0111111;

4'b0001:LED7S<=7'b0000110;

4'b0010:LED7S<=7'b1011011;

4'b0011:LED7S<=7'b1001111;

4'b0100:LED7S<=7'b1100110;

4'b0101:LED7S<=7'b1101101;

4'b0110:LED7S<=7'b1111101;

4'b0111:LED7S<=7'b0000111;

4'b1000:LED7S<=7'b1111111;

4'b1001:LED7S<=7'b1101111;

4'b1010:LED7S<=7'b1110111;

4'b1011:LED7S<=7'b1111100;

4'b1100:LED7S<=7'b0111001;

4'b1101:LED7S<=7'b1011110;

4'b1110:LED7S<=7'b1111001;

4'b1111:LED7S<=7'b1110001;

endcase

end

endmodule

6.模块RAM 的设计

调用宏模块

wren=1时输入数据data 给出了一系列数据可被写入,只要有时钟上升沿,就会被写入到q

wren=0时,随着写入时的地址信号和时钟上升沿,读出写入的数据

7.模块ROM的设计

该ROM是由两个ROM形成的顶层文件,分别预置了两首音乐。当s=1时,播放音乐1(《北京欢迎你》),当s=0时,播放音乐2(《美丽的神话》)

内部的电路图如下:

每个ROM都是利用宏模块形成的

两首音乐的谱的Verilog 程序分别如下: 《北京欢迎你》的曲谱

WIDTH=5;//表示每一个数据有5位(二进制位) DEPTH=256;//表示ROM 深度 ADDRESS_RADIX=DEC; DATA_RADIX=DEC; CONTENT

BEGIN

00:11; 01:13; 02:11;

03:10;04:11;05:10;06:11; 07:11;08:11;09:10;10:6; 11:9;12:11;13:10;14:10; 15:10;16:10;17:6;18:9; 19:10;20:11;21:13;22:10; 23:11;24:14;25:13;26:5; 27:10;28:9;29:9;30:10; 31:9;32:6;33:9;34:10; 35:11;36:13;37:10;38:11; 39:14;40:13;41:13;42:11; 43:11;44:11;45:11;46:10; 47:11;48:10;49:9;50:13; 51:13;52:13;53:14;54:11; 55:11;56:6;57:11;58:10; 59:10;60:9;61:9;62:9; 63:11;64:13;65:25;66:13; 67:14;68:14;69:14;70:13; 71:14;72:13;73:11;74:11; 75:13;76:13;77:13;78:13; 79:11;80:13;81:14;82:25; 83:26;84:25;85:13;86:11; 87:10;88:13;89:13;90:11; 91:11;

92:11; 93:11; 94:11; END 同理,《美丽的神话》曲谱也应该这么写,这里就不再列出

实际程序中每一组应占一行,

为缩短篇幅,这里暂时每四组

一行

8.

模块shizhong的设计

module shizhong(clk,h1,h2,m1,m2,s1,s2); input clk; output reg [3:0] h1;output reg [3:0] h2;

output reg [3:0] m1;output reg [3:0] m2;

output reg [3:0] s1;output reg [3:0] s2; always@(posedge clk) begin if(s2>8) begin s1<=s1+1'b1;s2<=0; if(s1>4) begin

m2<=m2+1'b1; s1<=0;

if(m2>8)

begin

m1<=m1+1'b1;m2<=0;

if(m1>4)

begin

h2<=h2+1'b1;m1<=0;

if(h2>8)

begin

h1<=h1+1'b1; h2<=0; if(h1>1)

begin

h1<=0;h2<=0;m1<=0; m2<=0;s1<=0;s2<=0;

end

end end

end

end

end else

s2<=s2+1'b1;

其中的shuzizhong 模块:

当s2计数到9时,

s1开始从0开始累加,s2赋予0;

当s1计数到5时,m2开始从0开始累加,

s1赋予0;

接下来的原理都类同。

在数码管上显示时间 等待时钟上升沿因此s2=9

s1=5时有效

数字钟同步计时

end endmodule

9.模块VGA 的设计

VGA 模块的verilog 中关键部分:

module VGA(clk,hs,vs,r,g,b,KEY); input clk; input [4:0] KEY; output reg hs,vs; output reg r,g,b; reg [9:0] hcnt,vcnt;

always@(posedge clk) begin

if(hcnt<46&vcnt<50) begin //Q

if(KEY==5'b00001) begin r<=0; g<=1; b<=0; end

else begin r<=0; g<=0; b<=0; end end

else if(hcnt>66&hcnt<112&vcnt<50) begin //W if(KEY==5'b00010) begin r<=0; g<=1; b<=0; end

else begin r<=0; g<=0; b<=0; end end

........

else if(hcnt<46&vcnt>160&vcnt<210) begin //Z if(KEY==5'b11001) begin r<=0; g<=1; b<=0; end else begin r<=0; g<=0; b<=0; end end

else if(hcnt>66&hcnt<=112&vcnt>160&vcnt<210) begin //X if(KEY==5'b11010) begin r<=0; g<=1; b<=0; end else begin r<=0; g<=0; b<=0; end end ........

end

end

endmodule

上述程序中Q 及W 所代表的是低音阶的1、2,根据CODE3和F_CODE,然后确定键盘所对应的字母所代表的音符,据此来弹奏音乐。 上述部分程序中的Z 和X 代表的是高音阶的1、2,接下来的音符按照转换表进行转换,其余同之前的音阶的

描述。因此这里只列出部分程序

r<=0;g<=0;b<=0表示黑色 r<=1;g<=1;b<=1;表示白色

键盘按下去时,

低音区出现r<=0;g<=1;b<=0表示绿色 中音区出现r<=0;g<=0;b<=1;表示蓝色 高音区出现r<=1;g<=0;b<=0表示红色

为了使显示器上能显示出键盘上字母的大体位置,我们利用hcnt(行)和vcnt(列)确定行列的位置,从而按键盘字母分布在显示器上显示。

简易电子琴电路的制作

简易电子琴电路的制作 正文: 一、课程设计的目的 1.了解UA741芯片和DG4102芯片的逻辑功能。 2.学会使用示波器。 3.能够组装复杂的线路并调试。 4.能够熟练地焊接各个元器件到焊接实验板上。 5.了解音调的初步知识。 二、课程设计所用仪器 1.图1运算放大器UA741。 图1 DG4102型单片式集成功放电路结构外形图和管脚1和5为偏置(调零端),2为反向输入端,3为正向输入端,4接负电(-Vcc),6为输出,7接正电源(+Vcc), 8空脚 2.集成功放DG4102。 本实验采用DG4102型单片式集成功率放大电路,此集成电路是带散热片的14脚双列直插式塑料封装结构,其结构外形图和管脚如图2所示: 图2 DG4102型单片式集成功放电路结构外形图和管脚1——输出端, 6——反相输入端, 9——输入端,4、5——补偿电容, 10、

12——旁路电容, 13——自举电容,2、7、8、11——空脚, 3——接地, 14——电源电压(+VCC )。 3. 示波器、数字万用表、扬声器一只、焊接实验板、函数信号发生器、晶体三 极管(9013)、电阻器若干、电容器若干、按键式开关8只、电烙铁、焊锡丝、若干导线 三、课程设计的原理 (一)、简易电子琴电路设计原理 1、简易电子琴电路是将振荡电路与功率放大电路结合的产物。RC 振荡电路(如图3所示)是由RC 选频网络和同相比例运算电路组成,对不同频率的输入信号产生不同的响应。当RC f f π210==时 O U 和i U 同相,并且31==o i U U F 。而同相比例运算电路的电压放大倍数为11R R U U A F i O U +== , 可见,12R R F =时3=U A , 1=F A U 。O U 和i U 同相,也就是电路具有正反馈。起振时F A U >1, U A >3.随着振荡幅度的增大, U A 能自动减小,直到满足3=U A 或1=F A U 时,振幅达到稳定,以后可以自动稳幅。 R R F 图3 RC 振荡电路 2、功率放大电路的任务是将输入的电压信号进行功率放大,保证输出尽可能大的不失真功率,从而控制某种执行机构,如使扬声器发出声音、电机转动或仪表指示等等。

简易电子琴课程设计报告

摘要 电子琴是现代电子科技与音乐结合的产物,是一种新型的键盘乐器,它在音奏中已成为不可缺少的一部分。本文主要介绍运用555定时器制作简易电子琴的设计方法。该方法利用555定时器构成多谐振荡器,通过按键控制不同的RC组合应用多谐振荡器产生不同频率八个基本音阶的脉冲信号波,然后连到扬声器上,即可发出八音阶的音乐。在该设计中,利用了555定时器构成的多谐振荡器产生各音阶不同频率的脉冲,不仅仅使其频率调节更加方便,而且发出的声音稳定、饱满。 前言 (1) 第一章设计内容及要求 (2) 1.1 设计的基本原理 (2) 1.2 设计要求 (2) 第二章系统组成及工作原理 (3) 2.1 系统组成 (3) 2.1.1 按键模块 (3) 2.1.2音调发生模块 (3) 2.1.3音响模块 (4) 2.2 工作原理 (4) 2.2.1 NE555多谐振荡器 (5) 2.2.2 LM386集成功率放大器 (7) 第三章方案比较 (8) 3.1 方案一 (8) 3.2 方案二 (9) 3.3方案三 (10) 3.4方案分析与比较 (11) 第四章参数计算、器件选择 (12) 4.1 参数计算 (12) 4.2 器件选择 (12) 第五章系统调试及测试结果分析 (14) 5.1 系统调试 (18) 关键词:简易电子琴,555定时器,多谐振荡器,八个基本音阶 目录

5.2 硬件调试···················································19 2 5.3 测试结果与分析 (19) 前言 随着当代科学设计的发展,电子产品在人们的日常生活中占据着越来越多重要的地位。电子琴作为其中的一个典型代表,引领着许多孩子进入音乐的殿堂。因此,我们选择了简易电子琴这个题目来制作,因为它不仅能过提高实际动手能力,还与实际生活有着紧密的联系。 模拟电子技术基本教程是一门实践性很强的课程,而此次课程设计依据的理论基础是模拟电子技术基本教程,其主要目的是通过本课程的培养,启发学生的创造性思维,进一步探究书本知识。本课程设计是设计出一个电子产品,先焊接好,再进行检验。 在电子课程设计的过程中,系统的概念十分重要,熟悉从系统的层次分析问题、解决问题的方式。基本方法除了实验课中要求掌握的功能测试、故障排除等各种一般方法以外、要特别注重使用“电路拼装”的方法。课程设计的一般步骤如下:(1)、选择一个课题;(2)、查阅有关资料;(3)、进行可行论证;(4)、通过设计方案的比较,定出最优的设计方案;(5)、分解为多个模块;(6)、分别设计各个功能模块电路,并完成调试;(7)、组装成完整的数字系统;(8)、编写设计、安装、调试报告。 1 第一章设计原理及要求 1.1 设计的原理 555定时器是一种中规模集成电路,外形为双列直插8脚结构,体积小,使用起来方便。只要在外部配上几个适当的阻容元件,就可以构成施密特触发器、单稳态触发器及多谐振荡器等脉冲信号产生与变换电路。它在波形的产生与变换、测量与控制、定时电路、家用电器、电子玩具、电子乐器等方面有广泛的应用。 5.4 误差分析 (19) 实验小结及心得体会 (20) 结论······························································21 参考 文献·························································22 附录一····························································23 附录二···························································· 24 3

简易电子琴完整版

设计简易电子琴 学号:031041108 学生姓名:冯桥专业(班级):电子(11) 摘要:简易电子琴电路是以 NE555 时基电路为核心组成的多谐振荡器电路,由振荡器电路产生频率信号,再通过由 LM386 小功率集成功放为核心组成的功放电路,最后由扬声器输出信号,发出 8个不同频率的音符。 通过改变一组开关的通断可以发出不同的音符和音调,分别按下音符按键能发出 8 个不同频率的音符。 关键词:NE555 LM386 音调集成功放驱动 1 任务提出与方案论证 1.1 设计要求 1、要求有7个音阶,可以用数字芯片构成,也可由单片机构成。 2、用Multisim仿真。 3、搭建实体电路 要求掌握:数字电路的设计方法 1.2 方案论证 方案一: 基于RC振荡电路构成文氏电桥振荡电路,通过改变电阻或电容的值,可以得到不通的振荡频率,从而可以构建八音阶的电子琴系统。(注:通过此方法完成后只能发出一种声响,而且不能停止,是电路设计与链接问题。) 方案二: 555定时器可以构成单稳态触发器,而单稳态触发器仅有一个稳态,故可以通过改变其暂态在一个周期内的时间长度以得到不同的频率,来构建电子琴系统。 本设计选用第二种方法实现。

2 总体设计 2.1 系统总体组成··

·· 本系统主要由多谐振荡发生电路,扬声器及外部电路组成。通过按键开关接通电路产生振荡方波信号,通过改变电位器电阻的大小来调节振荡频率的大小;接着驱动扬声器发出声音。多谐振荡发生电路按住一个开关电路接通电路外部电容、电阻与555芯片构成多谐振荡电路进行循环的充放电,则输出脉冲矩形波信号。 2.2 总电路图

简易电子琴课程设计

课程设计任务书学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目: 简易电子琴电路的设计仿真与实现 初始条件: 可选元件:集成运算放大器LM324、电阻、电位器、电容若干,直流电源,或自备元器件。 可用仪器:示波器,万用表,直流稳压源,函数发生器 要求完成的主要任务: (1)设计任务 根据要求,完成对简易电子琴电路的仿真设计、装配与调试,鼓励自制稳压电源。 (2)设计要求 ①设计一简易电子琴电路,按下不同琴键即改变RC值,能发出C调的八个基本音阶,采用运算放大 ②选择电路方案,完成对确定方案电路的设计。 ③利用Proteus或Multisim仿真设计电路原理图,确定电路元件参数、掌握电路工作原理并仿真实现系 统功能。 ④安装调试并按规范要求格式完成课程设计报告书。 ⑤选做:利用仿真软件的PCB设计功能进行PCB设计。 时间安排: 1、前半周,完成仿真设计调试;并制作实物。 2、后半周,硬件调试,撰写、提交课程设计报告,进行验收和答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 1.模电课设概述 (1) 1.1设计背景 (1) 1.2设计目的及意义 (1) 1.3开发环境proteus简介 (1) 2.电路原理 (3) 2.1 RC桥式振荡电路及频率选择 (3) 2.2振荡条件 (4) 3.总体方案设计 (5) 3.1实验电路设计思路 (5) 3.2设计电路图 (6) 3.3实验参数选择 (6) 4.仿真曲线及结果分析 (7) 4.1仿真操作过程及曲线 (7) 4.2仿真结果分析 (14) 5.实物制作及仿真、实物的差异 (15) 5.1实物制作过程和调试过程 (15) 5.2 仿真、实物的差异 (16) 6.心得体会 (17) 7.元件清单 (18) 8.参考文献 (19)

简易电子琴电路的设计 (2)

1. 技术指标 (1) 2. 设计方案及其比较 (1) 2.1 方案一 (1) 2.2 方案二 (3) 2.3 方案比较 (6) 3. 实现方案 (6) 4. 调试过程及结论 (10) 5. 心得体会 (16) 6. 参考文献 (16)

简易电子琴电路的设计 1.技术指标 设计一个玩具电子琴,设8个琴键,分别代表1、2、3、4、5、6、7、?八个不同音符,每按下一个琴键,扬声器发出一个音符的声音。演奏时的音量和节拍可以调节。 2.设计方案及其比较 2.1 方案一 选用RC振荡电路和运算放大器构成简易电子琴电路。RC振荡电路的具体电路为文氏桥正弦振荡电路。电路原理图如下图1。 图1 简易电子琴电路原理图

R串联,两者共同构成RC串并联选频网络。由于选其中1C和按键电阻并联,2C和12 频网络的相移为零,这样RC串并联选频网络送到运算放大器同相输入端的信号电压与输 出电压同相,所以RC反馈网络形成正反馈,满足相位平衡条件,因而可以形成振荡。 由于振荡的能量是电源,激励信号源是电路中的噪声,它的频谱丰富,包含频率成分 f;但由于噪声信号极其微弱,在振荡期间应使信号做增幅振荡,为此合理选择电阻使0 ω信号就会通过正反馈而使得输出信号不断增大,使输出幅环路增益大于1,这样频率为0 度越来越大,最后受电路中非线性元件的限制,使振荡幅度自动稳定下来,电路进入等幅振荡。频率0f之外的信号由于不满足振荡平衡条件,将不会在输出信号中出现,RC选频网络实现了信号频率的选择功能。 按键电阻的选择:查阅资料得知八个音阶的频率如下表1: 表1 八个音阶的频率 音符 1 2 3 4 5 6 7 8 f264 297 330 352 396 440 495 528 由于1C的值确定为0.1uF,由公式: fπ2/1 RC =(1) f 0= 并结合表一计算可得电阻阻值分别为(单位:欧姆): 36 R3. k 1=(2) 28 k R7. 2=(3) 23 R3. k 3=(4) 20 k R4. 4=(5) 16 k R2. 5=(6) k 13 R1. 6=(7) R3. 10 k 7=(8) R1.9 k 8=(9)通过阻值选择电阻器件。 电路要求不仅能够振荡,而且能够稳幅。当振荡输出信号小于放大器的最大输出电压时,输出为正弦波。如前所述,环路增益大于1,这样信号幅度在正反馈的作用下不断增

数电课程设计--简易电子琴

目录 1 设计任务 (1) 1.1 基本任务 (1) 1.2 扩展任务 (1) 2 设计方案原理 (1) 3 单元电路的设计 (2) 3.1 多谐振荡器 (2) 3.2 琴键开关 (3) 3.3 扩音器(喇叭) (4) 3.4 器件选择 (4) 4 电路图的绘制 (5) 5 电路的仿真及调试 (6) 6 体会 (6) 参考文献 (8)

1设计任务 电子琴是一种很简单的电子产品,目前市场上所售的电子琴多为基于单片机所设计的。本次课设要求利用数电知识,设计一个能奏出八个音阶的电子琴。虽然没有基于单片机的电子琴那么多的功能,但是电子琴的基本功能是可以满足的。 本次设计的主要内容为:根据数电课程所学内容,结合其他相关课程知识,设计一个简易电子琴,以加深对单片机知识的理解,锻炼实践动手能力。 本次设计的任务为: 1.1基本任务 ①具备8个按键,能够分别较准确地弹奏出1?1八个音符。 ②选择电路方案,完成对确定方案电路的设计。计算电路元件参 数与元件选择、并画出总体电路原理图,阐述基本原理。用 Proteus或MULTISIM软件完成仿真,并按规定格式写出课程设计 报告书。 1.2扩展任务 ①能够弹奏出至少21个音符(三个音阶)。 ②能够较便捷地完成音阶的升降。(按一个开关实现升8度,按另一个开关实现降 8度) 2设计方案原理 本方案为利用555多谐振荡器能输出脉冲信号的特性,通过改变振荡器外接电阻的阻值来改变振荡器输出脉冲的频率,驱动喇叭发出各种音阶。电子琴所用琴键即为改变电阻阻值的开关,通过改变阻值使输出与琴键音阶相对应。

原理框图如下: 图1原理框图 3单元电路的设计 3.1多谐振荡器 利用多谐振荡器产生周期脉冲电路图如下图所示 图2 多谐振荡器电路实现 图中引脚功能: 1脚:GND或Vss)外接电源负端VSS或接地,一般情况下接地。 2脚:TR低触发端。 3脚:OUT(或Vo)输出端。 4脚:Rd是直接清零端。当R端接低电平,则时基电路不工作,此时不论TR、TH 处于何电平,时基电路输出为“ o”,该端不用时应接高电平。 5脚:CO或VC)为控制电压端。若此端外接电压,则可改变内部两个比较器的

电子琴实验报告乐曲硬件演奏电路设计本科论文

武夷学院实验报告 课程名称:逻辑设计与FPGA 项目名称: 乐曲硬件演奏电路设计 ______________ 姓名: 专业:微电子学 班级:14微电子 学号:04实验日期 、 实验预习部分: 1. 实验目的: 学习利用数控分频器设计硬件乐曲演奏电路。 2. 实验原理: 综合利用数控分频器、LPM ROMPLL 等单元电路设计硬件乐曲演奏电路。系统框图如图 1 所示由三个模块组成,分别为 NOTETABSTONETABASPEAKERA NOTETAB 模块(把教材图9-4中的CNT138T 和MUSIC 模块合在一起即为此模块)类似于弹 琴人的手指;TONETAB 模块类似于琴键;SPEAKER 类似于琴弦或音调发生器。 音符的频率由SPEAKER 模块(与教材图9-4中的SPKEF 模块对应)获得,这是一个数控分 频器。由其CLK 端输入一具有较高频率(12MHZ 的信号,通过SPEAKER 分频后由SPKOU 输出。 SPEAKER 对CLK 输入信号的分频比由11位预置数TONE[10..0]决定。SPKOU 的输出频率将决定 每一音符的音调,这样,分频计数器的预置值 TONE[10..0]与SPKOU 的输出频率就有了对应关 系。例如在TONETAB 模块(与教材图9-4中的F_COD 模块对应)中若取 TONE[10..0] = 1036, 图1硬件乐曲演奏电路结构框图 i.;E-Z

将发出音符“ 3”音的信号频率。

实验预习成绩(百分制)____________________ 实验指导教师签字:_________________________

基于51单片机简易电子琴的课程设计

基于51单片机简易电子琴 1 课题背景 单片微型计算机室大规模集成电路技术发展的产物,属于第四代电子计算机它具有高性能、高速度、体积小、价格低廉、稳定可靠、应用广泛的特点。他的应用必定导致传统的控制技术从根本上发生变革。因此,单片机的开发应用已成为高科技和工程领域的一项重大课题。 电子琴是现代电子科技与音乐结合的产物,是一种新型的键盘乐器。它在现代音乐扮演重要的角色,单片机具有强大的控制功能和灵活的编程实现特性,它已经溶入现代人们的生活中,成为不可替代的一部分。本文的主要内容是用AT89S52单片机为核心控制元件,设计一个电子琴。以单片机作为主控核心,与键盘扬声器等模块组成核心主控制模块,在主控模块上设有8个按键,和一个复位按键。 主要对使用单片机设计简易电子琴进行了分析,并介绍了基于单片机电子琴硬件的组成。利用单片机产生不同频率来获得我们要求的音阶,最终可随意弹奏要表达的音符。并且分别从原理图,主要芯片,个模块原理及各莫奎的程序的调试来详细阐述。 一首音乐是许多不同的音阶组成的,而每个音阶对应着不同的频率,这样我们就可以利用不同的频率的组合,构成我们想演奏的那首曲目。当然对于单片机来产生不同的频率非常方便,我们可以利用单片机的定时/计数器T0来产生这样的方波频率信号,因此,我们只要把一首歌曲的音阶对应频率关系编写正确就可以达到我们想要的曲目。 2 任务要求与总体设计方案 2.1 设计任务与要求 利用所给键盘的1,2,3,4,5,6,7,8八个键,能够发出7个不同的音调,而且有一个按键可以自动播放歌曲,要求按键按下时发声,松开延时一小段时间,中间再按别的键则发另外一音调的声音,当系统扫描到键盘按下,则快速检测出是哪一个按键被按下,然后单片机的定时器启动,发出一定频率的脉冲,该频率的脉冲经喇叭驱动电路放大滤波后,就会发出相应的音调。如果在前一个按下的键发声的同时有另一个按键被按下,则启动中断系统。前面的发音停止,转到后按的键的发音程序。发出后按的键的音调。 2.2 设计方案 2.2.1 播放模块 播放模块是由喇叭构成,它几乎不存在噪声,音响效果较好,而且由于所需驱动功率较小,且价格低廉,所以,被广泛应用。 2.2.2 按键控制模块

(完整版)基于51单片机简易电子琴的设计

电子琴的设计 1 课题背景 单片微型计算机室大规模集成电路技术发展的产物,属于第四代电子计算机它具有高性能、高速度、体积小、价格低廉、稳定可靠、应用广泛的特点。他的应用必定导致传统的控制技术从根本上发生变革。因此,单片机的开发应用已成为高科技和工程领域的一项重大课题。 电子琴是现代电子科技与音乐结合的产物,是一种新型的键盘乐器。它在现代音乐扮演重要的角色,单片机具有强大的控制功能和灵活的编程实现特性,它已经溶入现代人们的生活中,成为不可替代的一部分。本文的主要内容是用AT89S52单片机为核心控制元件,设计一个电子琴。以单片机作为主控核心,与键盘扬声器等模块组成核心主控制模块,在主控模块上设有8个按键,和一个复位按键。 主要对使用单片机设计简易电子琴进行了分析,并介绍了基于单片机电子琴硬件的组成。利用单片机产生不同频率来获得我们要求的音阶,最终可随意弹奏要表达的音符。并且分别从原理图,主要芯片,个模块原理及各莫奎的程序的调试来详细阐述。 一首音乐是许多不同的音阶组成的,而每个音阶对应着不同的频率,这样我们就可以利用不同的频率的组合,构成我们想演奏的那首曲目。当然对于单片机来产生不同的频率非常方便,我们可以利用单片机的定时/计数器T0来产生这样的方波频率信号,因此,我们只要把一首歌曲的音阶对应频率关系编写正确就可以达到我们想要的曲目。一. 任务要求与总体设计方案 1.1 设计任务与要求 利用所给键盘的1,2,3,4,5,6,7,8八个键,能够发出7个不同的音调,而且有一个按键可以自动播放歌曲,要求按键按下时发声,松开延时一小段时间,中间再按别的键则发另外一音调的声音,当系统扫描到键盘按下,则快速检测出是哪一个按键被按下,然后单片机的定时器启动,发出一定频率的脉冲,该频率的脉冲经喇叭驱动电路放大滤波后,就会发出相应的音调。如果在前一个按下的键发声的同时有另一个按键被按下,则启动中断系统。前面的发音停止,转到后按的键的发音程序。发出后按的键的音调。 1.2 设计方案 1.2.1 播放模块 播放模块是由喇叭构成,它几乎不存在噪声,音响效果较好,而且由于所需驱动功率

简易电子琴课程设计

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目: 简易电子琴电路的设计仿真与实现 初始条件: 可选元件:集成运算放大器LM324、电阻、电位器、电容若干,直流电源,或自备元器件。 可用仪器:示波器,万用表,直流稳压源,函数发生器 要求完成的主要任务: (1)设计任务 根据要求,完成对简易电子琴电路的仿真设计、装配与调试,鼓励自制稳压电源。 (2)设计要求 ①设计一简易电子琴电路,按下不同琴键即改变RC值,能发出C调的八个基本音阶,采 用运算放大器构成振荡电路,用集成功放电路输出。已知八个基本音阶在C调时所对 ②选择电路方案,完成对确定方案电路的设计。 ③利用Proteus或Multisim仿真设计电路原理图,确定电路元件参数、掌握电路工作原 理并仿真实现系统功能。 ④安装调试并按规范要求格式完成课程设计报告书。 ⑤选做:利用仿真软件的PCB设计功能进行PCB设计。 时间安排: 1、前半周,完成仿真设计调试;并制作实物。 2、后半周,硬件调试,撰写、提交课程设计报告,进行验收和答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 1.模电课设概述 (1) 1.1设计背景 (1) 1.2设计目的及意义 (1) 1.3开发环境proteus简介 (1) 2.电路原理 (3) 2.1 RC桥式振荡电路及频率选择 (3) 2.2振荡条件 (4) 3.总体方案设计 (5) 3.1实验电路设计思路 (5) 3.2设计电路图 (6) 3.3实验参数选择 (6) 4.仿真曲线及结果分析 (7) 4.1仿真操作过程及曲线 (7) 4.2仿真结果分析 (14) 5.实物制作及仿真、实物的差异 (15) 5.1实物制作过程和调试过程 (15) 5.2 仿真、实物的差异 (16) 6.心得体会 (17) 7.元件清单 (18) 8.参考文献 (19)

模电课程设计简易电子琴的设计

1. 模电课设概述 现在的电子琴一般使用PCM或AWM采样音源。所谓采样就是录制乐器的声音,将其数字化后存入ROM里,然后按下键时CPU回放该音。甚至有一些高级编曲键盘可以使用外置采样(比如Tyros 3的硬盘音色)。现代电子琴并非“模仿”乐器音色。它使用的就是真实乐器音色。当然,现在力度触感在电子琴里是必备的。而且现代电子琴还加上了老式电子琴的滤波器,振荡器,包络线控制来制造和编辑音色。甚至也带上了老式电子琴的FM 合成机构。 本次课程设计主要是通过对电子琴主体部分的电路进行模仿设计,按下不同琴键改变RC值,发出C调的八个基本音阶,采用运算放大器构成振荡电路,用集成功放电路输出音调,从而达到电子琴固有的基本功能。 2. Proteus软件简介 Proteus软件是由英国LabCenter Electronics公司开发的EDA工具软件,由ISIS和ARES两个软件构成,其中ISIS是一款便捷的电子系统仿真平台软件,ARES是一款高级的布线编辑器,它集成了高级原理布线图、混合模式SPICE电路仿真、PCB设计以及自动布线来实现一个完整的电子设计。它是目前最好的仿真单片机及外围器件的工具。虽然目前国内推广刚起步,但已受到单片机爱好者、从事单片机教学的教师、致力于单片机开发应用的科技工作者的青睐。 Proteus软件的模拟仿真直接兼容厂商的SPICE模型,采用了扩充的SPICE3F5电路仿真模型,能够记录基于图表的频率特性、直流电的传输特性、参数的扫描、噪声的分析、傅里叶分析等,具有超过8000种的电路仿真模型。 Proteus软件支持许多通用的微控制器,如PIC、AVR、HC11以及8051;包含强大的调试工具,可对寄存器、存储器实时监测;具有断点调试功能及单步调试功能;具有对显示器、按钮、键盘等外设进行交互可视化仿真的功能。此外,Proteus可对IAR C-SPY、KEIL 等开发工具的源程序进行调试。 此外,在Proteus中配置了各种虚拟仪器,如示波器、逻辑分析仪、频率计,便于测量和记录仿真的波形、数据。 3. 简易电子琴基本原理 3.1 音乐产生原理 由于一首音乐是许多不同的音阶组成的,而每个音阶对应着不同的频率,这样我

简易电子琴设计说明

模拟电子技术课程设计报告 题目名称:简易电子琴 姓名:黄鹏程 学号:150712165 班级: 15电本六班 指导教师:王爱乐 成绩: 工程技术学院 信息工程与自动化系

摘要 随着社会的发展进步,音乐已成为我们生活中很重要的一部分,电子琴则是一种很常见的键盘乐器,是现代电子科技和音乐结合的产物。在各个领域扮演很重要的角色,早已融入现代人们的日常生活中,成为不可替代的一部分。 简易电子琴主要是由8个按键控制,根据固定电阻的不同,从而产生不同的振荡频率,并且将信号放大后由扬声器输出声音。 为了能得到频率不同的波,波形产生部分首先使用了NE555芯片,从而得到振荡的正弦波;将信号传给LM386进行功率放大,使扬声器发出相应的音阶。分块调试测试电子琴,先是震荡电路的线路测试,再是功率放大电路的测试。 经过调试之后,焊接而成的作品能产生8个音调的不同振荡频率的音阶。 关键词:NE555 LM386 频率电子琴

目录 第一章设计任务.............................................. - 4 -1.1设计要求............................................................. - 4 - 1.2设计目的............................................................. - 4 - 1.3总体思想构图......................................................... - 5 -第二章系统组成及工作原理..................................... - 7 - 2.1 NE555简介........................................................... - 7 - 2.2逻辑符号............................................................. - 8 - 2.3 NE555部原理图....................................................... - 9 - 2.4逻辑功能............................................................ - 10 - 555定时器逻辑功能...................................................... - 10 - 2.5 LM386芯片介绍..................................................... - 12 - 2.5.1 外形、管脚排列及电路............................................. - 12 - 2.5.2 LM386主要性能指标................................................ - 12 - 2.6 简易电子琴系统组成.................................................. - 13 - 2.6.1 按键模块.......................................................... - 13 - 2.6.2音调发生模块...................................................... - 13 - 2.6.3音响模块.......................................................... - 13 - 2.7 简易电子琴的工作原理................................................ - 13 -第三章模块定路设计与参数计算................................ - 14 - 3.1波形发生部分........................................................ - 14 - 3.2功率放大部分........................................................ - 15 -第四章系统调试.............................................. - 17 - 4.1 调试步骤........................................................... - 17 - 4.2 调试过程........................................................... - 17 - 4.3 调试结论........................................................... - 17 -参考文献..................................................... - 18 - 附录.............................................. 错误!未定义书签。附录一:元器件清单............................................ 错误!未定义书签。附录二电路仿真.............................................. 错误!未定义书签。附录三制作作品原图......................................... 错误!未定义书签。

简易电子琴电路课程设计(模拟电路)

逗你玩 课程设计报告 课程名称:模拟电子技术课程设计 专业班级:电子信息工程(2)班 学生学号: 0705110931 学生姓名:夏柳 所属院部:信息技术学院 指导教师:王雪 20 08 ——20 09 学年第 2 学期

《模拟电子技术》课程设计报告 --------简易电子琴的制作 简易电子琴电路 摘要: 本课程设计以制作一个简易电子琴为最终结果,主要以硬件测试为主。首先进行电路分析,设计电路图,其次考虑所有可能出现的问题,完善电路图,再选择合适的器件,最后按照电路图线路搭试,调试测试,直至达到理想的目标。当然在这之前对焊点等要事先查阅资料,了解手工焊接技术;查阅有关4100芯片,741芯片的功能等参数,还有测试其芯片是否好坏的电路和方法;同时还要了解RC振荡电路,与其产生振荡的条件跟原理,选择稳幅电路,理解其稳幅的原理;当然还要计算八个音阶的产生的频率,再根据RC振荡电路计算电阻值,以便选择合适的电阻,这些都是课前准备。测试电子琴我们要一步一步的,首先是振荡电路的线路测试,其次选频电路的测试,功放电路的测试,最后再是总体测试,尽量消除噪音,使音质能够很清晰。这样电子琴我们就做好了。 关键图:

电子琴的主干图

第一部分:课前准备 1.1芯片性能指标 1.2手工焊接技术 1.3元件制作工艺 第二部分:设计方案及选定 2.1八个音阶的频率 2.2振荡电路的选择与设计 2.3八个电阻的选择 2.4稳幅方式的选择 2.5功率放大电路的设计 第三部分:简易电子琴电路的检测与误差分析 3.1芯片测试 3.2振荡电路测试 3.3电子琴的测试 第四部分:元器件清单 第五部分:心得体会 第六部分:参考文献

基于FPGA的简易电子琴实现

基于FPGA的简易电子琴实现 李全 摘要 本系统是采用EDA技术设计的一个简易的八音符电子琴,该系统基于计算机中时钟分频器的原理,采用自顶向下的设计方法来实现,它可以通过按键输入来控制音响。多功能电子琴的设计是在原有普通电子琴的基础上进行扩充的一个设计。该电子琴的设计大体可以由三个模块构成,分别是电子琴音调发生器模块、数控分频模块和自动演奏模块。用超高速硬件描述语言VHDL编程可以实现各个模块的功能。能够实现弹琴和自动演奏的功能。系统实现是用硬件描述语言VHDL按照模块化方式进行设计,然后进行编程、时序仿真、总体整合。本系统的功能比较齐全,有一定的现实使用的价值。本文中介绍了电子琴系统的整体的设计,并基于超高速硬件描述语言VHDL在相关的芯片上编程实现的。 关键字 电子琴;EDA;现场可编程逻辑器件FPGA;超高速硬件描述语言VHDL;音调发生;数控分频; 1引言

我们生活在一个信息高速发达的时代,各种各样电子产品层出不穷。对于广大老百姓来说,电子琴可以说已经不再是什么“新鲜玩意”了,它现在作为一种休闲和娱乐的产品早就推出市面,面向百姓,进入了我们的生活。作为一个电子信息科学与技术专业的学生,了解这些电子产品的基本的组成和设计原理是十分必要的,我们学习过了计算机组成的理论知识,而我所做的课程设计正是对我学习的理论进行实践和巩固。本设计主要介绍的是一个用超高速硬件描述语言VHDL设计的一个具有若干功能的简易电子琴;集科学性,先进性,创新性,实用性于一体,其理论基础源自于计算机组成原理的时钟分频器。 1.1 设计的目的 本次设计的目的就是在掌握计算机组成原理理论相关的基础上,了解EDA技术,掌握VHDL硬件描述语言的设计方法和思想,通过学习的VHDL 语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识,例如本课程设计就是基于所学的计算机原理中的时钟分频器和定时器的基础之上的,通过本课程设计,达到巩固和综合运用计算机原理中的知识,理论联系实际,巩固所学理论知识,并且提高自己通过所学理论分析、解决计算机相关的实际问题的能力。 1.2设计的基本内容

简易电子琴课程设计报告超详细

广州大学机械与电气工程学院 电子信息工程系 课 程 设 计 报 告 课程名称:电子技术课程设计 设计题目:简易电子琴 专业班级:电子信息工程 2 班 设计者:苏伟强 学号: 51 06 指导教师:秦剑彭绍湖

设计所在学期: 2016~2017学年第 2 学期 设计所在时间: 2014年7月6日-12日 地点: 电子信息实验楼314 315 目录 一课程设计题目 (3) 1 题目分析理解 二设计任务及要求 (3) 1 要求 2 任务安排 3 进度安排 三电路设计 (4) 1 方案论证 2 单元电路设计与数据分析 文氏桥正弦波震荡电路 LM386组成的功率放大电路 3 确认理论参数 四电路仿真............................................................................. (13)

1 multisim仿真图 2 仿真结果 3 误差分析及总结 五元器件的选择......................................................................... .. (19) 1 元件分析 1 元件清单 六 PCB设计......................................................................... ..................................................错误!未定义书签。0 1 原理图设计 2 选择封装 3 生成PCB 七制作与调试......................................................................... (22) 1 电路板的热转印,焊接元器件 2 故障排除并且接通电源 3 调试过程 4 数据记录和分析 八试验中遇到的问题 (25) 1 仿真过程遇到的问题 2 制作PCB遇到的问题 3 电路调试的时候遇到的问题 九心得体会 (26) 十参考文献............................................................................. . (27)

用 制作简易电子琴

555简易电子琴电路制作 一设计要求与任务 1.学习调试电子电路的方法,提高实际动手能力。 2.了解由555定时器构成简易电子琴的电路及原理。 二总体框图 、【模块功能】 该电路包括按钮开关,定值电阻,555振荡器和扬声器三部分组成, 1输入端:由八个按钮开关与各自的定值电阻串联在并联组成输入端2频率产生端:根据定值电阻的不同输入,由555产生不同的信号频率 3扬声器端口: 接受信号频率发出特定的频率

【设计方案】 555定时器 本实验采用两个555集成定时器组成简易电子琴。整个电路由主振荡器,颤音振荡器,扬声器和琴键按钮等部分组成。 主振荡器由555定时器,七个琴键按钮S1~S7,外接电容C1、C2,外接电阻R8以及R1~R7等元件组成,颤音振荡器由555定时器,电容C5及R9、R10 等元件组成,颤音振荡器振荡频率较低为64Hz,若将其输出电压U连接到主振荡器555定时器复位端4,则主振荡器输出端出现颤音。 按图接线后闭合不同开关即可令喇叭发出不同频率的声响,从而模拟出电子琴的工作。 三选择器件 【实验器材】 555定时器是一种中规模集成电路,外形为双列直插8脚结构,体积很小,使用起来方便。只要在外部配上几个适当的阻容元件,就可以构成史密特触发器、单稳态触发器及自激多谐振荡器等脉冲信号产生与变换电路。它在波形的产生与变换、测量与控制、定时电路、家用电器、电子玩具、电子乐器等方面有广泛的应用。 多谐振荡器的工作原理 多谐振荡器是能产生矩形波的一种自激振荡器电路,由于矩形波中除基波外还含有丰富的高次谐波,故称为多谐振荡器。多谐振荡器没有稳态,只有两个暂稳态,在自身因素的作用下,电路就在两个暂稳态之间来回转换,故又称它为无稳态电路。

单片机电子琴设计报告硬件设计部分

课程设计报告 课程名称:微机原理课程设计 题目:简易电子琴设计 学院:系: 专业: 班级: 学号: 学生姓名: 起讫日期: 指导教师:

摘要 随着社会的发展进步,音乐逐渐成为我们生活中很重要的一部分,有人曾说喜欢音乐的人不会向恶。我们都会抽空欣赏世界名曲,作为对精神的洗礼。本论文设计一个基于单片机的简易电子琴。电子琴是现代电子科技与音乐结合的产物,是一种新型的键盘乐器。它在现代音乐扮演着重要的角色,单片机具有强大的控制功能和灵活的编程实现特性,它已经溶入现代人们的生活中,成为不可替代的一部分。本系统是以51系列单片机AT89C51为主控制器,附有独立键盘、点阵、扬声器组成。系统完成显示输入信息、播放相应音符等基本功能。本系统运行稳定,其优点是硬件电路简单,软件功能完善,控制系统可靠,性价比较高等,具有一定的实用和参考价值。 关键词:AT89C51,点阵,独立键盘,蜂鸣器。

目录 第1章概述----------------------------------------------------------------------------------3 第2章课程设计任务及要求-----------------------------------------------------------3 2.1 设计任务-------------------------------------------------------------------------------3 2.2 设计要求-------------------------------------------------------------------------------3 第3章硬件设计-----------------------------------------------------------------------------3 3.1设计方案-------------------------------------------------------------------------------4 3.2 硬件电路设计及描述-----------------------------------------------------------------4 3.2.1 电路连线及概述---------------------------------------------------------------------5 3.2.2 系统设计电路图--------- --------------------------------------------------------8 第4章原件清单-----------------------------------------------------------------------------9 第5章心得体会-----------------------------------------------------------------------------10第6章参考文献-----------------------------------------------------------------------------11

简易电子琴设计报告

电子技术课程设计报告 学院:电气与电子工程学院专业班级:电信班 学生姓名: 指导教师: 完成时间:2013 . 7 . 4 成绩:

简易电子琴设计报告 一. 设计要求 本设计是基于学校实验室的环境,根据实验室提供的实验条件来完成设计任务,设计一个简易电子琴。 (1).按下不同琴键即改变 RC值,能发出C调的八个基本音阶,采用运算放大器构成振荡电路,用集成功放电路输出。 (2).选择电路方案,完成对确定方案电路的设计。计算电路元件参数并记录对应不同音阶时的电路参数值、元件选择、并画出总体电路原理图,阐述基本原理。 (3).连接安装调试电路。 (4).写出设计总结报告。 二.设计条件 实验室为该设计提供的仪器设备和主要元器件如下: 电脑模拟、数字电子技术实验箱一台 集成运算放大器实验插板两块 直流稳压电源一台 数字万用表一块 主要元器件运放μA741、电阻、电容、导线等 电脑模拟、数字电子技术实验箱上有喇叭、三极管以及芯片的插座;集成运算放大器实验插板上有不同参数值的电阻和电容,可任意选用。 三. 设计的作用、目的 1.学会用仿真软件对设计的原理图进行仿真。培养创新能力和创新思维, 锻炼学生自学软件的能力,通过查阅手册和文献资料,培养独立分 析问题和解决问题的能力。 2.培养学生正确的设计思想,理论联系实际的工作作风,严肃认真、实事

求是的科学态度和勇于探索的创新精神。 3.通过课程设计,使学生在理论计算、结构设计、工程绘图、查阅设计资 料、标准与规范的运用和计算机应用方面的能力得到训练和提高。 4.掌握电子电路的一般设计方法,了解电子产品研制开发过程,巩固、 深化和扩展学生的理论知识与初步的专业技能。 5.为今后从事电子技术领域的工程设计打好基础基本要求。 四.设计的具体实现 1.系统概述 本课程设计采用模拟电路中的RC正弦振荡原理。设计出的电子琴音阶频率满足国际标准,La调频率满足国际标准音C调频率440 Hz。模拟电路中的RC 正弦波振荡电路具有一定的选频特性,乐声中的各音阶频率也是以固定的声音频率为机理的。 简易电子琴是由RC选频网络、集成运算放大器、功率放大电路组成。其框图如图下所示: 其核心是集成运算放大器构成RC正弦波振荡器,实验板上提供了8个音节电阻和电容(C串=C并=0.068μf固定) 构成RC串并联选频网络,分别取不同的电阻值(通过琴键开关接通RC串并联网络的8对电阻)使振荡器产生八个音阶信号。最后,通过扬声器发出乐音。 2.单元电路设计(仿真)与分析 (1)八个音阶的频率 设计电子琴,就要进行八个音阶的调试。查阅资料得知C调各音的振荡频率如下表。

相关主题
文本预览
相关文档 最新文档