当前位置:文档之家› 软硬件工程师笔试

软硬件工程师笔试

软硬件工程师笔试
软硬件工程师笔试

数字电路续

51、latch与register的区别,为什么现在多用register.行为级描述中latch如何产生的. (南山之桥)

52、用D触发器做个二分颦的电路.又问什么是状态图.(华为)

53、请画出用D触发器实现2倍分频的逻辑电路?(汉王笔试)

54、怎样用D触发器、与或非门组成二分频电路?(东信笔试)

55、How many flip-flop circuits are needed to divide by 16? (Intel) 16分频?

56、用filp-flop和logic-gate设计一个1位加法器,输入carryin和current-stage,输出carryout和next-stage. (未知)

57、用D触发器做个4进制的计数.(华为)

58、实现N位Johnson Counter,N=5.(南山之桥)

59、用你熟悉的设计方式设计一个可预置初值的7进制循环计数器,15进制的呢?(仕兰微电子)

60、数字电路设计当然必问Verilog/VHDL,如设计计数器.(未知)

61、BLOCKING NONBLOCKING 赋值的区别.(南山之桥)

62、写异步D触发器的verilog module.(扬智电子笔试)

module dff8(clk , reset, d, q);

input clk;

input reset;

input [7:0] d;

output [7:0] q;

reg [7:0] q;

always @ (posedge clk or posedge reset)

if(reset)

q <= 0;

else

q <= d;

endmodule

63、用D触发器实现2倍分频的Verilog描述? (汉王笔试)

module divide2( clk , clk_o, reset);

input clk , reset;

output clk_o;

wire in;

reg out ;

always @ ( posedge clk or posedge reset)

if ( reset)

out <= 0;

else

out <= in;

assign in = ~out;

assign clk_o = out;

endmodule

64、可编程逻辑器件在现代电子设计中越来越重要,请问:a) 你所知道的可编程逻辑器

件有哪些? b) 试用VHDL或VERILOG、ABLE描述8位D触发器逻辑.(汉王笔试)

PAL,PLD,CPLD,FPGA.

module dff8(clk , reset, d, q);

input clk;

input reset;

input d;

output q;

reg q;

always @ (posedge clk or posedge reset)

if(reset)

q <= 0;

else

q <= d;

endmodule

65、请用HDL描述四位的全加法器、5分频电路.(仕兰微电子)

66、用VERILOG或VHDL写一段代码,实现10进制计数器.(未知)

67、用VERILOG或VHDL写一段代码,实现消除一个glitch.(未知)

68、一个状态机的题目用verilog实现(不过这个状态机画的实在比较差,很容易误解

的).(威盛VIA 2003.11.06 上海笔试试题)

69、描述一个交通信号灯的设计.(仕兰微电子)

70、画状态机,接受1,2,5分钱的卖报机,每份报纸5分钱.(扬智电子笔试)

71、设计一个自动售货机系统,卖soda水的,只能投进三种硬币,要正确的找回钱

数. (1)画出fsm(有限状态机);(2)用verilog编程,语法要符合fpga设计

的要求.(未知)

72、设计一个自动饮料售卖机,饮料10分钱,硬币有5分和10分两种,并考虑找零:(1)

画出fsm(有限状态机);(2)用verilog编程,语法要符合fpga设计的要求;(3)设计

工程中可使用的工具及设计大致过程.(未知)

73、画出可以检测10010串的状态图,并verilog实现之.(威盛)

74、用FSM实现101101的序列检测模块.(南山之桥)

a为输入端,b为输出端,如果a连续输入为1101则b输出为1,否则为0.

例如a: 0001100110110100100110

b: 0000000000100100000000

请画出state machine;请用RTL描述其state machine.(未知)

75、用verilog/vddl检测stream中的特定字符串(分状态用状态机写).(飞利浦-大唐

笔试)

76、用verilog/vhdl写一个fifo控制器(包括空,满,半满信号).(飞利浦-大唐笔试)

77、现有一用户需要一种集成电路产品,要求该产品能够实现如下功能:y=lnx,其中,x

为4位二进制整数输入信号.y为二进制小数输出,要求保留两位小数.电源电压为3~5v假设公司接到该项目后,交由你来负责该产品的设计,试讨论该产品的设计全程.(仕兰微

电子)

78、sram,falsh memory,及dram的区别?(新太硬件面试)

79、给出单管DRAM的原理图(西电版《数字电子技术基础》作者杨颂华、冯毛官205页图9-14b),问你有什么办法提高refresh time,总共有5个问题,记不起来了.(降低温度,增大电容存储容量)(Infineon笔试)

80、Please draw schematic of a common SRAM cell with 6 transistors,point out which nodes can store data and which node is word line control? (威盛笔试题circuit design-beijing-03.11.09) 81、名词:sram,ssram,sdram

名词IRQ,BIOS,USB,VHDL,SDR

IRQ: Interrupt ReQuest

BIOS: Basic Input Output System

USB: Universal Serial Bus

VHDL: VHIC Hardware Description Language

SDR: Single Data Rate

压控振荡器的英文缩写(VCO).

动态随机存储器的英文缩写(DRAM).

名词解释,无聊的外文缩写罢了,比如PCI、ECC、DDR、interrupt、pipeline、

IRQ,BIOS,USB,VHDL,VLSI VCO(压控振荡器) RAM (动态随机存储器),FIR IIR DFT(离散

傅立叶变换)或者是中文的,比如:a.量化误差 b.直方图 c.白平衡

各大公司电子类招聘题目精选-单片机之类-

模拟电路/数字电路考题

模拟电路

1、基尔霍夫定理的内容是什么?(仕兰微电子)

2、平板电容公式(C=εS/4πkd).(未知)

3、最基本的如三极管曲线特性.(未知)

4、描述反馈电路的概念,列举他们的应用.(仕兰微电子)

5、负反馈种类(电压并联反馈,电流串联反馈,电压串联反馈和电流并联反馈);负反馈的优点(降低放大器的增益灵敏度,改变输入电阻和输出电阻,改善放大器的线性和非线性失真,有效地扩展放大器的通频带,自动调节作用)(未知)

6、放大电路的频率补偿的目的是什么,有哪些方法?(仕兰微电子)

7、频率响应,如:怎么才算是稳定的,如何改变频响曲线的几个方法.(未知)

8、给出一个查分运放,如何相位补偿,并画补偿后的波特图.(凹凸)

9、基本放大电路种类(电压放大器,电流放大器,互导放大器和互阻放大器),优缺点,特别是广泛采用差分结构的原因.(未知)

10、给出一差分电路,告诉其输出电压Y+和Y-,求共模分量和差模分量.(未知)

11、画差放的两个输入管.(凹凸)

12、画出由运放构成加法、减法、微分、积分运算的电路原理图.并画出一个晶体管级的运放电路.(仕兰微电子)

13、用运算放大器组成一个10倍的放大器.(未知)

14、给出一个简单电路,让你分析输出电压的特性(就是个积分电路),并求输出端某点的 rise/fall时间.(Infineon笔试试题)

15、电阻R和电容C串联,输入电压为R和C之间的电压,输出电压分别为C上电压和R上电压,要求制这两种电路输入电压的频谱,判断这两种电路何为高通滤波器,何为低通滤波器.当RC<

16、有源滤波器和无源滤波器的原理及区别?(新太硬件)

17、有一时域信号S=V0sin(2pif0t)+V1cos(2pif1t)+V2sin(2pif3t+90),当其通过低通、带通、高通滤波器后的信号表示方式.(未知)

18、选择电阻时要考虑什么?(东信笔试题)

19、在CMOS电路中,要有一个单管作为开关管精确传递模拟低电平,这个单管你会用P管还是N管,为什么?(仕兰微电子)

20、给出多个mos管组成的电路求5个点的电压.(Infineon笔试试题)

21、电压源、电流源是集成电路中经常用到的模块,请画出你知道的线路结构,简单描述其优缺点.(仕兰微电子)

22、画电流偏置的产生电路,并解释.(凹凸)

23、史密斯特电路,求回差电压.(华为面试题)

24、晶体振荡器,好像是给出振荡频率让你求周期(应该是单片机的,12分之一周期....) (华为面试题)

25、LC正弦波振荡器有哪几种三点式振荡电路,分别画出其原理图.(仕兰微电子)

26、VCO是什么,什么参数(压控振荡器?) (华为面试题)

27、锁相环有哪几部分组成?(仕兰微电子)

28、锁相环电路组成,振荡器(比如用D触发器如何搭).(未知)

29、求锁相环的输出频率,给了一个锁相环的结构图.(未知)

30、如果公司做高频电子的,可能还要RF知识,调频,鉴频鉴相之类,不一一列举.(未知)

31、一电源和一段传输线相连(长度为L,传输时间为T),画出终端处波形,考虑传输线无损耗.给出电源电压波形图,要求绘制终端波形图.(未知)

32、微波电路的匹配电阻.(未知)

33、DAC和ADC的实现各有哪些方法?(仕兰微电子)

34、A/D电路组成、工作原理.(未知)

35、实际工作所需要的一些技术知识(面试容易问到).如电路的低功耗,稳定,高速如何做到,调运放,布版图注意的地方等等,一般会针对简历上你所写做过的东西具体问,肯定会问得很细(所以别把什么都写上,精通之类的词也别用太多了),这个东西各个人就不一样了,不好说什么了.(未知)

_______________________________________________________________________ 数字电路

1、同步电路和异步电路的区别是什么?(仕兰微电子)

2、什么是同步逻辑和异步逻辑?(汉王笔试)

同步逻辑是时钟之间有固定的因果关系.异步逻辑是各时钟之间没有固定的因果关系.

3、什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求?(汉王笔试)

线与逻辑是两个输出信号相连可以实现与的功能.在硬件上,要用oc门来实现,由于不用oc 门可能使灌电流过大,而烧坏逻辑门. 同时在输出端口应加一个上拉电阻.

4、什么是Setup 和Holdup时间?(汉王笔试)

5、setup和holdup时间,区别.(南山之桥)

6、解释setup time和hold time的定义和在时钟信号延迟时的变化.(未知)

7、解释setup和hold time violation,画图说明,并说明解决办法.(威盛VIA

2003.11.06 上海笔试试题)

Setup/hold time 是测试芯片对输入信号和时钟信号之间的时间要求.建立时间是指触发器的时钟信号上升沿到来以前,数据稳定不变的时间.输入信号应提前时钟上升沿(如上升沿有效)T时间到达芯片,这个T就是建立时间-Setup time.如不满足setup time,这个数据就不能被这一时钟打入触发器,只有在下一个时钟上升沿,数据才能被打入触发器. 保持时间是指触发器的时钟信号上升沿到来以后,数据稳定不变的时间.如果hold time 不够,数据同样不能被打入触发器.

建立时间(Setup Time)和保持时间(Hold time).建立时间是指在时钟边沿前,数据信号需要保持不变的时间.保持时间是指时钟跳变边沿后数据信号需要保持不变的时间.如果不满足建立和保持时间的话,那么DFF将不能正确地采样到数据,将会出现metastability的情况.如果数据信号在时钟沿触发前后持续的时间均超过建立和保持时间,那么超过量就分别被称为建立时间裕量和保持时间裕量.

8、说说对数字逻辑中的竞争和冒险的理解,并举例说明竞争和冒险怎样消除.(仕兰微电子)

9、什么是竞争与冒险现象?怎样判断?如何消除?(汉王笔试)

在组合逻辑中,由于门的输入信号通路中经过了不同的延时,导致到达该门的时间不一致叫竞争.产生毛刺叫冒险.如果布尔式中有相反的信号则可能产生竞争和冒险现象.解决方法:一是添加布尔式的消去项,二是在芯片外部加电容.

10、你知道那些常用逻辑电平?TTL与COMS电平可以直接互连吗?(汉王笔试)

常用逻辑电平:12V,5V,3.3V;TTL和CMOS不可以直接互连,由于TTL是在0.3-3.6V之间,而CMOS 则是有在12V的有在5V的.CMOS输出接到TTL是可以直接互连.TTL接到CMOS需要在输出端口加一上拉电阻接到5V或者12V.

11、如何解决亚稳态.(飞利浦-大唐笔试)

亚稳态是指触发器无法在某个规定时间段内达到一个可确认的状态.当一个触发器进入亚

稳态时,既无法预测该单元的输出电平,也无法预测何时输出才能稳定在某个正确的电平上.在这个稳定期间,触发器输出一些中间级电平,或者可能处于振荡状态,并且这种无

用的输出电平可以沿信号通道上的各个触发器级联式传播下去.

12、IC设计中同步复位与异步复位的区别.(南山之桥)

13、MOORE 与MEELEY状态机的特征.(南山之桥)

14、多时域设计中,如何处理信号跨时域.(南山之桥)

15、给了reg的setup,hold时间,求中间组合逻辑的delay范围.(飞利浦-大唐笔试)

Delay < period - setup – hold

16、时钟周期为T,触发器D1的建立时间最大为T1max,最小为T1min.组合逻辑电路最大延迟为T2max,最小为T2min.问,触发器D2的建立时间T3和保持时间应满足什么条件.(华

为)

17、给出某个一般时序电路的图,有Tsetup,Tdelay,Tck->q,还有clock的delay,写出决

定最大时钟的因素,同时给出表达式.(威盛VIA 2003.11.06 上海笔试试题)

18、说说静态、动态时序模拟的优缺点.(威盛VIA 2003.11.06 上海笔试试题)

19、一个四级的Mux,其中第二级信号为关键信号如何改善timing.(威盛VIA

2003.11.06 上海笔试试题)

20、给出一个门级的图,又给了各个门的传输延时,问关键路径是什么,还问给出输入,

使得输出依赖于关键路径.(未知)

21、逻辑方面数字电路的卡诺图化简,时序(同步异步差异),触发器有几种(区别,优

点),全加器等等.(未知)

22、卡诺图写出逻辑表达使.(威盛VIA 2003.11.06 上海笔试试题)

23、化简F(A,B,C,D)= m(1,3,4,5,10,11,12,13,14,15)的和.(威盛)

24、please show the CMOS inverter schmatic,layout and its cross sectionwith P-

well process.Plot its transfer curve (Vout-Vin) And also explain the

operation region of PMOS and NMOS for each segment of the transfer curve? (威

盛笔试题circuit design-beijing-03.11.09)

25、To design a CMOS invertor with balance rise and fall time,please define

the ration of channel width of PMOS and NMOS and explain?

26、为什么一个标准的倒相器中P管的宽长比要比N管的宽长比大?(仕兰微电子)

27、用mos管搭出一个二输入与非门.(扬智电子笔试)

28、please draw the transistor level schematic of a cmos 2 input AND gate and

explain which input has faster response for output rising edge.(less delay

time).(威盛笔试题circuit design-beijing-03.11.09)

29、画出NOT,NAND,NOR的符号,真值表,还有transistor level的电路.(Infineon笔

试)

30、画出CMOS的图,画出tow-to-one mux gate.(威盛VIA 2003.11.06 上海笔试试题)

31、用一个二选一mux和一个inv实现异或.(飞利浦-大唐笔试)

32、画出Y=A*B+C的cmos电路图.(科广试题)

33、用逻辑们和cmos电路实现ab+cd.(飞利浦-大唐笔试)

34、画出CMOS电路的晶体管级电路图,实现Y=A*B+C(D+E).(仕兰微电子)

35、利用4选1实现F(x,y,z)=xz+yz’.(未知)

36、给一个表达式f=xxxx+xxxx+xxxxx+xxxx用最少数量的与非门实现(实际上就是化简).

37、给出一个简单的由多个NOT,NAND,NOR组成的原理图,根据输入波形画出各点波形. (Infineon笔试)

38、为了实现逻辑(A XOR B)OR (C AND D),请选用以下逻辑中的一种,并说明为什

么?1)INV 2)AND 3)OR 4)NAND 5)NOR 6)XOR 答案:NAND(未知)

39、用与非门等设计全加法器.(华为)

40、给出两个门电路让你分析异同.(华为)

41、用简单电路实现,当A为输入时,输出B波形为…(仕兰微电子)

42、A,B,C,D,E进行投票,多数服从少数,输出是F(也就是如果A,B,C,D,E中1的个数比0 多,那么F输出为1,否则F为0),用与非门实现,输入数目没有限制.(未知)

43、用波形表示D触发器的功能.(扬智电子笔试)

44、用传输门和倒向器搭一个边沿触发器.(扬智电子笔试)

45、用逻辑们画出D触发器.(威盛VIA 2003.11.06 上海笔试试题)

46、画出DFF的结构图,用verilog实现之.(威盛)

47、画出一种CMOS的D锁存器的电路图和版图.(未知)

48、D触发器和D锁存器的区别.(新太硬件面试)

49、简述latch和filp-flop的异同.(未知)

50、LATCH和DFF的概念和区别.(未知)

51、latch与register的区别,为什么现在多用register.行为级描述中latch如何产生的. (南山之桥)

52、用D触发器做个二分颦的电路.又问什么是状态图.(华为)

53、请画出用D触发器实现2倍分频的逻辑电路?(汉王笔试)

54、怎样用D触发器、与或非门组成二分频电路?(东信笔试)

55、How many flip-flop circuits are needed to divide by 16? (Intel) 16分频?

56、用filp-flop和logic-gate设计一个1位加法器,输入carryin和current-stage,输出carryout和next-stage. (未知)

57、用D触发器做个4进制的计数.(华为)

58、实现N位Johnson Counter,N=5.(南山之桥)

59、用你熟悉的设计方式设计一个可预置初值的7进制循环计数器,15进制的呢?(仕兰微电子)

60、数字电路设计当然必问Verilog/VHDL,如设计计数器.(未知)

61、BLOCKING NONBLOCKING 赋值的区别.(南山之桥)

62、写异步D触发器的verilog module.(扬智电子笔试)

module dff8(clk , reset, d, q);

input clk;

input reset;

input [7:0] d;

output [7:0] q;

reg [7:0] q;

always @ (posedge clk or posedge reset)

if(reset)

q <= 0;

else

q <= d;

endmodule

63、用D触发器实现2倍分频的Verilog描述? (汉王笔试)

module divide2( clk , clk_o, reset);

input clk , reset;

output clk_o;

wire in;

reg out ;

always @ ( posedge clk or posedge reset)

if ( reset)

out <= 0;

else

out <= in;

assign in = ~out;

assign clk_o = out;

endmodule

64、可编程逻辑器件在现代电子设计中越来越重要,请问:a) 你所知道的可编程逻辑器件有哪些? b) 试用VHDL或VERILOG、ABLE描述8位D触发器逻辑.(汉王笔试) PAL,PLD,CPLD,FPGA.

module dff8(clk , reset, d, q);

input clk;

input reset;

input d;

output q;

reg q;

always @ (posedge clk or posedge reset)

if(reset)

q <= 0;

else

q <= d;

endmodule

65、请用HDL描述四位的全加法器、5分频电路.(仕兰微电子)

66、用VERILOG或VHDL写一段代码,实现10进制计数器.(未知)

67、用VERILOG或VHDL写一段代码,实现消除一个glitch.(未知)

68、一个状态机的题目用verilog实现(不过这个状态机画的实在比较差,很容易误解

的).(威盛VIA 2003.11.06 上海笔试试题)

69、描述一个交通信号灯的设计.(仕兰微电子)

70、画状态机,接受1,2,5分钱的卖报机,每份报纸5分钱.(扬智电子笔试)

71、设计一个自动售货机系统,卖soda水的,只能投进三种硬币,要正确的找回钱

数. (1)画出fsm(有限状态机);(2)用verilog编程,语法要符合fpga设计

的要求.(未知)

72、设计一个自动饮料售卖机,饮料10分钱,硬币有5分和10分两种,并考虑找零:(1)

画出fsm(有限状态机);(2)用verilog编程,语法要符合fpga设计的要求;(3)设计

工程中可使用的工具及设计大致过程.(未知)

73、画出可以检测10010串的状态图,并verilog实现之.(威盛)

74、用FSM实现101101的序列检测模块.(南山之桥)

a为输入端,b为输出端,如果a连续输入为1101则b输出为1,否则为0.

例如a: 0001100110110100100110

b: 0000000000100100000000

请画出state machine;请用RTL描述其state machine.(未知)

75、用verilog/vddl检测stream中的特定字符串(分状态用状态机写).(飞利浦-大唐

笔试)

76、用verilog/vhdl写一个fifo控制器(包括空,满,半满信号).(飞利浦-大唐笔试)

77、现有一用户需要一种集成电路产品,要求该产品能够实现如下功能:y=lnx,其中,x

为4位二进制整数输入信号.y为二进制小数输出,要求保留两位小数.电源电压为3~5v假

设公司接到该项目后,交由你来负责该产品的设计,试讨论该产品的设计全程.(仕兰微

电子)

78、sram,falsh memory,及dram的区别?(新太硬件面试)

79、给出单管DRAM的原理图(西电版《数字电子技术基础》作者杨颂华、冯毛官205页图9

-14b),问你有什么办法提高refresh time,总共有5个问题,记不起来了.(降低温

度,增大电容存储容量)(Infineon笔试)

80、Please draw schematic of a common SRAM cell with 6 transistors,point out

which nodes can store data and which node is word line control? (威盛笔试题

circuit design-beijing-03.11.09)

81、名词:sram,ssram,sdram

名词IRQ,BIOS,USB,VHDL,SDR

IRQ: Interrupt ReQuest

BIOS: Basic Input Output System

USB: Universal Serial Bus

VHDL: VHIC Hardware Description Language

SDR: Single Data Rate

压控振荡器的英文缩写(VCO).

动态随机存储器的英文缩写(DRAM).

名词解释,无聊的外文缩写罢了,比如PCI、ECC、DDR、interrupt、pipeline、

IRQ,BIOS,USB,VHDL,VLSI VCO(压控振荡器) RAM (动态随机存储器),FIR IIR DFT(离散傅立叶变换)或者是中文的,比如:a.量化误差 b.直方图 c.白平衡

1: 每个嵌入式系统都有只读存储器eprom之类的,请问rom中有些什么,如何布局2: 请描叙bootloader的主要功能和执行流程

3: 简要分析嵌入式系统的体系结构

4: 列出linux文件系统的目录结构

5: 将变量a进行移位操作,首先设置a的第3位为1,然后清除a的第3位

6: void GetMemory(char *p)

{

p = (char *)malloc(100);

}

void Test(void)

{

char *str = NULL;

GetMemory(str);

strcpy(str, "hello world";

printf(str);

}

请问运行Test函数会有什么样的结果?

答:

char *GetMemory(void)

{

char p[] = "hello world";

return p;

}

void Test(void)

{

char *str = NULL;

str = GetMemory();

printf(str);

}

请问运行Test函数会有什么样的结果?

答:

Void GetMemory2(char **p, int num)

{

*p = (char *)malloc(num);

}

void Test(void)

{

char *str = NULL;

GetMemory(&str, 100);

strcpy(str, "hello";

printf(str);

}

请问运行Test函数会有什么样的结果?

答:

void Test(void)

{

char *str = (char *) malloc(100);

strcpy(str, “hello”);

free(str);

if(str != NULL)

{

strcpy(str, “world”);

printf(str);

}

}

请问运行Test函数会有什么样的结果?

答:

IC设计基础(流程、工艺、版图、器件)

1、我们公司的产品是集成电路,请描述一下你对集成电路的认识,列举一些与集成电路

相关的内容(如讲清楚模拟、数字、双极型、CMOS、MCU、RISC、CISC、DSP、ASIC、FPGA 等的概念).(仕兰微面试题目)

2、FPGA和ASIC的概念,他们的区别.(未知)

答案:FPGA是可编程ASIC.

ASIC:专用集成电路,它是面向专门用途的电路,专门为一个用户设计和制造的.根据一

个用户的特定要求,能以低研制成本,短、交货周期供货的全定制,半定制集成电路.与

门阵列等其它ASIC(Application Specific IC)相比,它们又具有设计开发周期短、设计

制造成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检验等优点

3、什么叫做OTP片、掩膜片,两者的区别何在?(仕兰微面试题目)

4、你知道的集成电路设计的表达方式有哪几种?(仕兰微面试题目)

5、描述你对集成电路设计流程的认识.(仕兰微面试题目)

6、简述FPGA等可编程逻辑器件设计流程.(仕兰微面试题目)

7、IC设计前端到后端的流程和eda工具.(未知)

8、从RTL synthesis到tape out之间的设计flow,并列出其中各步使用的tool.(未知)

9、Asic的design flow.(威盛VIA 2003.11.06 上海笔试试题)

10、写出asic前期设计的流程和相应的工具.(威盛)

11、集成电路前段设计流程,写出相关的工具.(扬智电子笔试)

先介绍下IC开发流程:

1.)代码输入(design input)

用vhdl或者是verilog语言来完成器件的功能描述,生成hdl代码

语言输入工具:SUMMIT VISUALHDL

MENTOR RENIOR

图形输入: composer(cadence);

viewlogic (viewdraw)

2.)电路仿真(circuit simulation)

将vhd代码进行先前逻辑仿真,验证功能描述是否正确

数字电路仿真工具:

Verolog: CADENCE Verolig-XL

SYNOPSYS VCS

MENTOR Modle-sim

VHDL : CADENCE NC-vhdl

SYNOPSYS VSS

MENTOR Modle-sim

模拟电路仿真工具:

***ANTI HSpice pspice,spectre micro microwave: eesoft : hp

3.)逻辑综合(synthesis tools)

逻辑综合工具可以将设计思想vhd代码转化成对应一定工艺手段的门级电路;将初级仿真中所没有考虑的门沿(gates delay)反标到生成的门级网表中,返回电路仿真阶段进行再

仿真.最终仿真结果生成的网表称为物理网表.

12、请简述一下设计后端的整个流程?(仕兰微面试题目)

13、是否接触过自动布局布线?请说出一两种工具软件.自动布局布线需要哪些基本元

素?(仕兰微面试题目)

14、描述你对集成电路工艺的认识.(仕兰微面试题目)

15、列举几种集成电路典型工艺.工艺上常提到0.25,0.18指的是什么?(仕兰微面试题

目)

16、请描述一下国内的工艺现状.(仕兰微面试题目)

17、半导体工艺中,掺杂有哪几种方式?(仕兰微面试题目)

18、描述CMOS电路中闩锁效应产生的过程及最后的结果?(仕兰微面试题目)

19、解释latch-up现象和Antenna effect和其预防措施.(未知)

20、什么叫Latchup?(科广试题)

21、什么叫窄沟效应? (科广试题)

22、什么是NMOS、PMOS、CMOS?什么是增强型、耗尽型?什么是PNP、NPN?他们有什么差

别?(仕兰微面试题目)

23、硅栅COMS工艺中N阱中做的是P管还是N管,N阱的阱电位的连接有什么要求?(仕兰微

面试题目)

24、画出CMOS晶体管的CROSS-OVER图(应该是纵剖面图),给出所有可能的传输特性和转移特性.(Infineon笔试试题)

25、以interver为例,写出N阱CMOS的process流程,并画出剖面图.(科广试题)

26、Please explain how we describe the resistance in semiconductor. Compare

the resistance of a metal,poly and diffusion in tranditional CMOS process.(威

盛笔试题circuit design-beijing-03.11.09)

27、说明mos一半工作在什么区.(凹凸的题目和面试)

28、画p-bulk 的nmos截面图.(凹凸的题目和面试)

29、写schematic note(?), 越多越好.(凹凸的题目和面试)

30、寄生效应在ic设计中怎样加以克服和利用.(未知)

31、太底层的MOS管物理特性感觉一般不大会作为笔试面试题,因为全是微电子物理,公式推导太罗索,除非面试出题的是个老学究.IC设计的话需要熟悉的软件: Cadence, Synopsys, Avant,UNIX当然也要大概会操作.

32、unix 命令cp -r, rm,uname.(扬智电子笔试)

___________________________________________________________________________ 单片机、MCU、计算机原理

1、简单描述一个单片机系统的主要组成模块,并说明各模块之间的数据流流向和控制流流向.简述单片机应用系统的设计原则.(仕兰微面试题目)

2、画出8031与2716(2K*8ROM)的连线图,要求采用三-八译码器,8031的P2.5,P2.4和

P2.3参加译码,基本地址范围为3000H-3FFFH.该2716有没有重叠地址?根据是什么?若有,则写出每片2716的重叠地址范围.(仕兰微面试题目)

3、用8051设计一个带一个8*16键盘加驱动八个数码管(共阳)的原理图.(仕兰微面试

题目)

4、PCI总线的含义是什么?PCI总线的主要特点是什么? (仕兰微面试题目)

5、中断的概念?简述中断的过程.(仕兰微面试题目)

6、如单片机中断几个/类型,编中断程序注意什么问题;(未知)

7、要用一个开环脉冲调速系统来控制直流电动机的转速,程序由8051完成.简单原理如下:由P3.4输出脉冲的占空比来控制转速,占空比越大,转速越快;而占空比由K7-K0八

个开关来设置,直接与P1口相连(开关拨到下方时为"0",拨到上方时为"1",组成一个八

位二进制数N),要求占空比为N/256. (仕兰微面试题目)

下面程序用计数法来实现这一功能,请将空余部分添完整.

MOV P1,#0FFH

LOOP1 :MOV R4,#0FFH

--------

MOV R3,#00H

LOOP2 :MOV A,P1

--------

SUBB A,R3

JNZ SKP1

--------

SKP1:MOV C,70H

MOV P3.4,C

ACALL DELAY :此延时子程序略

--------

--------

AJMP LOOP1

8、单片机上电后没有运转,首先要检查什么?(东信笔试题)

9、What is PC Chipset? (扬智电子笔试)

芯片组(Chipset)是主板的核心组成部分,按照在主板上的排列位置的不同,通常分为

北桥芯片和南桥芯片.北桥芯片提供对CPU的类型和主频、内存的类型和最大容量、

ISA/PCI/AGP插槽、ECC纠错等支持.南桥芯片则提供对KBC(键盘控制器)、RTC(实时时

钟控制器)、USB(通用串行总线)、Ultra DMA/33(66)EIDE数据传输方式和ACPI(高级

能源管理)等的支持.其中北桥芯片起着主导性的作用,也称为主桥(Host Bridge).

除了最通用的南北桥结构外,目前芯片组正向更高级的加速集线架构发展,Intel的

8xx系列芯片组就是这类芯片组的代表,它将一些子系统如IDE接口、音效、MODEM和USB 直

接接入主芯片,能够提供比PCI总线宽一倍的带宽,达到了266MB/s.

10、如果简历上还说做过cpu之类,就会问到诸如cpu如何工作,流水线之类的问题.

(未知)

11、计算机的基本组成部分及其各自的作用.(东信笔试题)

12、请画出微机接口电路中,典型的输入设备与微机接口逻辑示意图(数据接口、控制接口、所存器/缓冲器). (汉王笔试)

13、cache的主要部分什么的.(威盛VIA 2003.11.06 上海笔试试题)

14、同步异步传输的差异(未知)

15、串行通信与同步通信异同,特点,比较.(华为面试题)

16、RS232c高电平脉冲对应的TTL逻辑是?(负逻辑?) (华为面试题)

___________________________________________________________________________

信号与系统

1、的话音频率一般为300~3400HZ,若对其采样且使信号不失真,其最小的采样频率应为

多大?若采用8KHZ的采样频率,并采用8bit的PCM编码,则存储一秒钟的信号数据量有多大?(仕兰微面试题目)

2、什么耐奎斯特定律,怎么由模拟信号转为数字信号.(华为面试题)

3、如果模拟信号的带宽为5khz,要用8K的采样率,怎么办? (lucent) 两路?

4、信号与系统:在时域与频域关系.(华为面试题)

5、给出时域信号,求其直流分量.(未知)

6、给出一时域信号,要求(1)写出频率分量,(2)写出其傅立叶变换级数;(3)当波

形经过低通滤波器滤掉高次谐波而只保留一次谐波时,画出滤波后的输出波形.(未知)

7、sketch 连续正弦信号和连续矩形波(都有图)的傅立叶变换.(Infineon笔试试题)

8、拉氏变换和傅立叶变换的表达式及联系.(新太硬件面题)

_________________________________________________________________________

DSP、嵌入式、软件等

1、请用方框图描述一个你熟悉的实用数字信号处理系统,并做简要的分析;如果没有,

也可以自己设计一个简单的数字信号处理系统,并描述其功能及用途.(仕兰微面试题

目)

2、数字滤波器的分类和结构特点.(仕兰微面试题目)

3、IIR,FIR滤波器的异同.(新太硬件面题)

4、拉氏变换与Z变换公式等类似东西,随便翻翻书把如.h(n)=-a*h(n-1)+b*δ(n) a.求h

(n)的z变换;b.问该系统是否为稳定系统;c.写出FIR数字滤波器的差分方程;(未知)

5、DSP和通用处理器在结构上有什么不同,请简要画出你熟悉的一种DSP结构图.(信威

dsp软件面试题)

6、说说定点DSP和浮点DSP的定义(或者说出他们的区别)(信威dsp软件面试题)

7、说说你对循环寻址和位反序寻址的理解.(信威dsp软件面试题)

8、请写出【-8,7】的二进制补码,和二进制偏置码.用Q15表示出0.5和-0.5.(信威

dsp软件面试题)

9、DSP的结构(哈佛结构);(未知)

10、嵌入式处理器类型(如ARM),操作系统种类(Vxworks,ucos,winCE,linux),操作系

统方面偏CS方向了,在CS篇里面讲了;(未知)

11、有一个LDO芯片将用于对手机供电,需要你对他进行评估,你将如何设计你的测试项目?

12、某程序在一个嵌入式系统(200M CPU,50M SDRAM)中已经最优化了,换到零一个系

统(300M CPU,50M SDRAM)中是否还需要优化? (Intel)

13、请简要描述HUFFMAN编码的基本原理及其基本的实现方法.(仕兰微面试题目)

14、说出OSI七层网络协议中的四层(任意四层).(仕兰微面试题目)

15、A) (仕兰微面试题目)

#i nclude

void testf(int*p)

,

*p+=1;

-

main()

,

int *n,m*2+;

n=m;

m*0+=1;

m[1]=8;

testf(n);

printf("Data value is %d ",*n);

-

------------------------------

B)

#i nclude

void testf(int**p)

,

*p+=1;

-

main()

,int *n,m*2+;

n=m;

m*0+=1;

m*1+=8;

testf(&n);

printf(Data value is %d",*n);

-

下面的结果是程序A还是程序B的?

Data value is 8

那么另一段程序的结果是什么?

16、那种排序方法最快? (华为面试题)

17、写出两个排序算法,问哪个好?(威盛)

18、编一个简单的求n!的程序.(Infineon笔试试题)

19、用一种编程语言写n!的算法.(威盛VIA 2003.11.06 上海笔试试题)

20、用C语言写一个递归算法求N!;(华为面试题)

21、给一个C的函数,关于字符串和数组,找出错误;(华为面试题)

22、防火墙是怎么实现的? (华为面试题)

23、你对哪方面编程熟悉?(华为面试题)

24、冒泡排序的原理.(新太硬件面题)

25、操作系统的功能.(新太硬件面题)

26、学过的计算机语言及开发的系统.(新太硬件面题)

27、一个农夫发现围成正方形的围栏比长方形的节省4个木桩但是面积一样.羊的数目和正方形围栏的桩子的个数一样但是小于36,问有多少羊?(威盛)

28、C语言实现统计某个cell在某.v文件调用的次数(这个题目真bt) (威盛VIA

2003.11.06 上海笔试试题)

29、用C语言写一段控制手机中马达振子的驱动程序.(威胜)

30、用perl或TCL/Tk实现一段字符串识别和比较的程序.(未知)

31、给出一个堆栈的结构,求中断后显示结果,主要是考堆栈压入返回地址存放在低端地

址还是高端.(未知)

32、一些DOS命令,如显示文件,拷贝,删除.(未知)

33、设计一个类,使得该类任何形式的派生类无论怎么定义和实现,都无法产生任何对象

实例.(IBM)

34、What is pre-emption? (Intel)

35、What is the state of a process if a resource is not available? (Intel)

36、三个float a,b,c;问值(a+b)+c==(b+a)+c, (a+b)+c==(a+c)+b.(Intel)

37、把一个链表反向填空. (lucent)

38、x^4+a*x^3+x^2+c*x+d 最少需要做几次乘法? (Dephi)

____________________________________________________________________________ 主观题

1、你认为你从事研发工作有哪些特点?(仕兰微面试题目)

2、说出你的最大弱点及改进方法.(威盛VIA 2003.11.06 上海笔试试题)

3、说出你的理想.说出你想达到的目标. 题目是英文出的,要用英文回答.(威盛VIA

2003.11.06 上海笔试试题)

4、我们将研发人员分为若干研究方向,对协议和算法理解(主要应用在网络通信、图象

语音压缩方面)、电子系统方案的研究、用MCU、DSP编程实现电路功能、用ASIC设计技术设计电路(包括MCU、DSP本身)、电路功能模块设计(包括模拟电路和数字电路)、集成

电路后端设计(主要是指综合及自动布局布线技术)、集成电路设计与工艺接口的研究.

你希望从事哪方面的研究?(可以选择多个方向.另外,已经从事过相关研发的人员可以

详细描述你的研发经历).(仕兰微面试题目)

5、请谈谈对一个系统设计的总体思路.针对这个思路,你觉得应该具备哪些方面的知

识?(仕兰微面试题目)

6、设想你将设计完成一个电子电路方案.请简述用EDA软件(如PROTEL)进行设计(包括

原理图和PCB图)到调试出样机的整个过程.在各环节应注意哪些问题?电源的稳定,电

容的选取,以及布局的大小.(汉王笔试)

回复1帖

2帖中国勇士团长889一2007-01-07 17:38

1、简单描述一个单片机系统的主要组成模块,并说明各模块之间的数据流流向和控

制流

流向.简述单片机应用系统的设计原则.(仕兰微面试题目)

2、画出8031与2716(2K*8ROM)的连线图,要求采用三-八译码器,8031的P2.5,P2.4

P2.3参加译码,基本地址范围为3000H-3FFFH.该2716有没有重叠地址?根据是什么?

有 蛐闯雒科?716的重叠地址范围.(仕兰微面试题目)

3、用8051设计一个带一个8*16键盘加驱动八个数码管(共阳)的原理图.(仕兰微面

题目)

4、PCI总线的含义是什么?PCI总线的主要特点是什么? (仕兰微面试题目)

5、中断的概念?简述中断的过程.(仕兰微面试题目)

6、如单片机中断几个/类型,编中断程序注意什么问题;(未知)

7、要用一个开环脉冲调速系统来控制直流电动机的转速,程序由8051完成.简单原

理如

下:由P3.4输出脉冲的占空比来控制转速,占空比越大,转速越快;而占空比由K7-K0八个开关来设置,直接与P1口相连(开关拨到下方时为"0",拨到上方时为"1",组成一个八

位二进制数N),要求占空比为N/256. (仕兰微面试题目)

下面程序用计数法来实现这一功能,请将空余部分添完整.

MOV P1,#0FFH

LOOP1 :MOV R4,#0FFH

--------

MOV R3,#00H

LOOP2 :MOV A,P1

--------

SUBB A,R3

JNZ SKP1

--------

SKP1:MOV C,70H

MOV P3.4,C

ACALL DELAY :此延时子程序略

--------

--------

AJMP LOOP1

8、单片机上电后没有运转,首先要检查什么?(东信笔试题)

9、What is PC Chipset? (扬智电子笔试)

芯片组(Chipset)是主板的核心组成部分,按照在主板上的排列位置的不同,通常分为

北桥芯片和南桥芯片.北桥芯片提供对CPU的类型和主频、内存的类型和最大容量、ISA/PCI/AGP插槽、ECC纠错等支持.南桥芯片则提供对KBC(键盘控制器)、RTC(实时时

钟控制器)、USB(通用串行总线)、Ultra DMA/33(66)EIDE数据传输方式和ACPI(高级能源管理)等的支持.其中北桥芯片起着主导性的作用,也称为主桥(Host Bridge).

除了最通用的南北桥结构外,目前芯片组正向更高级的加速集线架构发展,Intel的

8xx系列芯片组就是这类芯片组的代表,它将一些子系统如IDE接口、音效、MODEM 和USB直

接接入主芯片,能够提供比PCI总线宽一倍的带宽,达到了266MB/s.

10、如果简历上还说做过cpu之类,就会问到诸如cpu如何工作,流水线之类的问题.

(未知)

11、计算机的基本组成部分及其各自的作用.(东信笔试题)

12、请画出微机接口电路中,典型的输入设备与微机接口逻辑示意图(数据接口、控制接

口、所存器/缓冲器). (汉王笔试)

13、cache的主要部分什么的.(威盛VIA 2003.11.06 上海笔试试题)

14、同步异步传输的差异(未知)

15、串行通信与同步通信异同,特点,比较.(华为面试题)

16、RS232c高电平脉冲对应的TTL逻辑是?(负逻辑?) (华为面试题)

1、同步电路和异步电路的区别是什么?(仕兰微电子)

2、什么是同步逻辑和异步逻辑?(汉王笔试)

同步逻辑是时钟之间有固定的因果关系.异步逻辑是各时钟之间没有固定的因果关系.

3、什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求?(汉王笔试)

线与逻辑是两个输出信号相连可以实现与的功能.在硬件上,要用oc门来实现,由于不用

oc门可能使灌电流过大,而烧坏逻辑门. 同时在输出端口应加一个上拉电阻.

4、什么是Setup 和Holdup时间?(汉王笔试)

5、setup和holdup时间,区别.(南山之桥)

6、解释setup time和hold time的定义和在时钟信号延迟时的变化.(未知)

7、解释setup和hold time violation,画图说明,并说明解决办法.(威盛VIA

2003.11.06 上海笔试试题)

Setup/hold time 是测试芯片对输入信号和时钟信号之间的时间要求.建立时间是指触发

器的时钟信号上升沿到来以前,数据稳定不变的时间.输入信号应提前时钟上升沿(如上

升沿有效)T时间到达芯片,这个T就是建立时间-Setup time.如不满足setup time,这个数据就不能被这一时钟打入触发器,只有在下一个时钟上升沿,数据才能被打入触发器.

保持时间是指触发器的时钟信号上升沿到来以后,数据稳定不变的时间.如果hold time

不够,数据同样不能被打入触发器.

建立时间(Setup Time)和保持时间(Hold time).建立时间是指在时钟边沿前,数据信

号需要保持不变的时间.保持时间是指时钟跳变边沿后数据信号需要保持不变的时间.如

果不满足建立和保持时间的话,那么DFF将不能正确地采样到数据,将会出现metastability的情况.如果数据信号在时钟沿触发前后持续的时间均超过建立和保持时

间,那么超过量就分别被称为建立时间裕量和保持时间裕量.

8、说说对数字逻辑中的竞争和冒险的理解,并举例说明竞争和冒险怎样消除.(仕兰微电子)

9、什么是竞争与冒险现象?怎样判断?如何消除?(汉王笔试)

在组合逻辑中,由于门的输入信号通路中经过了不同的延时,导致到达该门的时间不一致

叫竞争.产生毛刺叫冒险.如果布尔式中有相反的信号则可能产生竞争和冒险现象.解决

方法:一是添加布尔式的消去项,二是在芯片外部加电容.

10、你知道那些常用逻辑电平?TTL与COMS电平可以直接互连吗?(汉王笔试)

常用逻辑电平:12V,5V,3.3V;TTL和CMOS不可以直接互连,由于TTL是在0.3-3.6V之间,而CMOS则是有在12V的有在5V的.CMOS输出接到TTL是可以直接互连.TTL接到CMOS需

要在输出端口加一上拉电阻接到5V或者12V.

11、如何解决亚稳态.(飞利浦-大唐笔试)

亚稳态是指触发器无法在某个规定时间段内达到一个可确认的状态.当一个触发器进入亚

稳态时,既无法预测该单元的输出电平,也无法预测何时输出才能稳定在某个正确的电平

上.在这个稳定期间,触发器输出一些中间级电平,或者可能处于振荡状态,并且这种无

用的输出电平可以沿信号通道上的各个触发器级联式传播下去.

12、IC设计中同步复位与异步复位的区别.(南山之桥)

13、MOORE 与MEELEY状态机的特征.(南山之桥)

14、多时域设计中,如何处理信号跨时域.(南山之桥)

15、给了reg的setup,hold时间,求中间组合逻辑的delay范围.(飞利浦-大唐笔试) Delay < period - setup – hold

16、时钟周期为T,触发器D1的建立时间最大为T1max,最小为T1min.组合逻辑电路最大延

迟为T2max,最小为T2min.问,触发器D2的建立时间T3和保持时间应满足什么条件.(华

为)

17、给出某个一般时序电路的图,有Tsetup,Tdelay,Tck->q,还有clock的delay,写出决定最大时钟的因素,同时给出表达式.(威盛VIA 2003.11.06 上海笔试试题)

18、说说静态、动态时序模拟的优缺点.(威盛VIA 2003.11.06 上海笔试试题)

19、一个四级的Mux,其中第二级信号为关键信号如何改善timing.(威盛VIA 2003.11.06 上海笔试试题)

20、给出一个门级的图,又给了各个门的传输延时,问关键路径是什么,还问给出输入, 使得输出依赖于关键路径.(未知)

21、逻辑方面数字电路的卡诺图化简,时序(同步异步差异),触发器有几种(区别,优点),全加器等等.(未知)

22、卡诺图写出逻辑表达使.(威盛VIA 2003.11.06 上海笔试试题)

23、化简F(A,B,C,D)= m(1,3,4,5,10,11,12,13,14,15)的和.(威盛)

24、please show the CMOS inverter schmatic,layout and its cross sectionwith P-

well process.Plot its transfer curve (Vout-Vin) And also explain the

operation region of PMOS and NMOS for each segment of the transfer curve? (威

盛笔试题circuit design-beijing-03.11.09)

25、To design a CMOS invertor with balance rise and fall time,please define

the ration of channel width of PMOS and NMOS and explain?

26、为什么一个标准的倒相器中P管的宽长比要比N管的宽长比大?(仕兰微电子)

27、用mos管搭出一个二输入与非门.(扬智电子笔试)

28、please draw the transistor level schematic of a cmos 2 input AND gate and explain which input has faster response for output rising edge.(less delay

time).(威盛笔试题circuit design-beijing-03.11.09)

29、画出NOT,NAND,NOR的符号,真值表,还有transistor level的电路.(Infineon笔试)

30、画出CMOS的图,画出tow-to-one mux gate.(威盛VIA 2003.11.06 上海笔试试题)

31、用一个二选一mux和一个inv实现异或.(飞利浦-大唐笔试)

32、画出Y=A*B+C的cmos电路图.(科广试题)

33、用逻辑们和cmos电路实现ab+cd.(飞利浦-大唐笔试)

34、画出CMOS电路的晶体管级电路图,实现Y=A*B+C(D+E).(仕兰微电子)

35、利用4选1实现F(x,y,z)=xz+yz'.(未知)

36、给一个表达式f=xxxx+xxxx+xxxxx+xxxx用最少数量的与非门实现(实际上就是化简).

37、给出一个简单的由多个NOT,NAND,NOR组成的原理图,根据输入波形画出各点波形.

(Infineon笔试)

38、为了实现逻辑(A XOR B)OR (C AND D),请选用以下逻辑中的一种,并说明为什

么?1)INV 2)AND 3)OR 4)NAND 5)NOR 6)XOR 答案:NAND(未知)

39、用与非门等设计全加法器.(华为)

40、给出两个门电路让你分析异同.(华为)

41、用简单电路实现,当A为输入时,输出B波形为…(仕兰微电子)

42、A,B,C,D,E进行投票,多数服从少数,输出是F(也就是如果A,B,C,D,E中1的个数比0

多,那么F输出为1,否则F为0),用与非门实现,输入数目没有限制.(未知)

43、用波形表示D触发器的功能.(扬智电子笔试)

44、用传输门和倒向器搭一个边沿触发器.(扬智电子笔试)

45、用逻辑们画出D触发器.(威盛VIA 2003.11.06 上海笔试试题)

46、画出DFF的结构图,用verilog实现之.(威盛)

47、画出一种CMOS的D锁存器的电路图和版图.(未知)

48、D触发器和D锁存器的区别.(新太硬件面试)

49、简述latch和filp-flop的异同.(未知)

50、LATCH和DFF的概念和区别.(未知)

51、latch与register的区别,为什么现在多用register.行为级描述中latch如何产生的. (南山之桥)

52、用D触发器做个二分颦的电路.又问什么是状态图.(华为)

53、请画出用D触发器实现2倍分频的逻辑电路?(汉王笔试)

54、怎样用D触发器、与或非门组成二分频电路?(东信笔试)

55、How many flip-flop circuits are needed to divide by 16? (Intel) 16分频?

56、用filp-flop和logic-gate设计一个1位加法器,输入carryin和current-stage,输出carryout和next-stage. (未知)

57、用D触发器做个4进制的计数.(华为)

58、实现N位Johnson Counter,N=5.(南山之桥)

59、用你熟悉的设计方式设计一个可预置初值的7进制循环计数器,15进制的呢?(仕兰

微电子)

60、数字电路设计当然必问Verilog/VHDL,如设计计数器.(未知)

61、BLOCKING NONBLOCKING 赋值的区别.(南山之桥)

62、写异步D触发器的verilog module.(扬智电子笔试)

module dff8(clk , reset, d, q);

input clk;

input reset;

input [7:0] d;

output [7:0] q;

reg [7:0] q;

always @ (posedge clk or posedge reset)

if(reset)

q <= 0;

else

q <= d;

endmodule

63、用D触发器实现2倍分频的Verilog描述? (汉王笔试)

module divide2( clk , clk_o, reset);

input clk , reset;

output clk_o;

wire in;

reg out ;

always @ ( posedge clk or posedge reset)

if ( reset)

out <= 0;

else

out <= in;

assign in = ~out;

软件测试工程师笔试题及答案

测试工程师笔试题 一、计算机知识(30分) 1、在Linux系统中,一个文件的访问权限是755,其含义是什么? 参考答案: 755表示该文件所有者对该文件具有读、写、执行权限,该文件所有者所在组用户及其他用户对该文件具有读和执行权限。 2、Linux中,如何从root用户切换到普通用户? 参考答案:su su user1 切换到user1,但切换后的当前目录还是root访问的目录 su – user1 切换到user1,并且当前目录切换到user1的根目录下(/home/user1/) 3、简述一下C/S模式和B/S模式的区别? 参考答案: c/s 是客户端/服务器架构 b/s 是浏览器/服务器架构 C/S模式有以下特点: 1.C/S模式将应用与服务分离,系统具有稳定性和灵活性 2.C/S模式配备的是点对点的结构模式,适用于局域网,有可靠的安全性 3.由于客户端实现与服务器端的直接连接,没有中间环节,因此响应速度快 4.在C/S模式中,作为客户机的计算机都要安装客户机程序,一旦软件系统升级,每台客户机都要安装客户机程序,系统升级和维护较为复杂 B/S模式有以下特点: 1.系统开发、维护、升级方便 每当服务器应用程序升级时,只要在服务器上升级服务应用程序即可,用户计算机上的浏览器软件不需要修改,系统开发和升级维护方便 2.B/S模式具有很强的开放性 在B/S模式下,用户通过通用的浏览器进行访问,系统开放性好 3.B/S模式的结构易于扩展 由于Web的平台无关性,B/S模式的结构可以任意扩展,可以从包含一台服务器和几个用户的小型系统扩展成为拥有成千上万个用户的大型系统 4.用户使用方便 B/S模式的应用软件都是基于Web浏览器的,而Web浏览器的界面是类似的。对于无用户交换功能的页面。用户接触的界面都是一致的,用户使用方便 4、Windows操作系统中PATH环境变量的作用是什么? 参考答案: PATH是Windows操作系统环境变量,PATH作用是用户在命令行窗口执行一个命令,则在PATH变量设置的目录下依次寻找该命令或对应的执行文件,若找到,则执行,若没有找到,则命令行窗口返回无效命令。 5、TCP和UDP有什么区别? 参考答案: TCP-有连接,所以握手过程会消耗资源,过程为可靠连接,不会丢失数据,适合大数据量交换

web前端研发工程师笔试题选择题带答案

1. 要动态改变层中内容可以使用的方法有( AB ) a) i nn erHTML b) i nn erText c) 通过设置层的隐藏和显示来实现 d) 通过设置层的样式属性的 display 属性 2. 当按键盘 A 时,使用onKeyDown 事件打印event.keyCode 的结果是(A ) a) 65 b) 13 c) 97 d) 37 3.在javascript 里,下列选项中不属于数组方法的是( B ); a) sort() b) l e ngth() c)con cat() d) r everse( ) 4.下列哪一个选项可以用来检索被选定的选项的索引号 ?(B) a)disabled b) selectedl ndex c) opti on d) multiple 5.希望图片具有”提交”按钮同样的功能,该如何编写表单提交?(A ) 6. 使div 层和文本框处在冋一行的代码正确的是 (D ); a) b) c) d) 7. 下列选项中,描述正确的是(选择两项)。(AD ) a)options.add(new Option(,a?,'A?))可以动态添加一个下拉列表选项 b)option.add(new Option(,a?,'A?))可以动态添加一个下拉列表选项 c) n ew Optio n(,a?,'A?)中?a 表示列表选项的值,?A 用于在页面中显示 d) n ew Option(,a?,'A?)中?A 表示列表选项的值,?a 用于在页面中显示 8. 、 var emp = new Array(3); for(var i in emp) 以下答案中能与for 循环代码互换的是:(选择一项)。(D ) A for(var i =0; i

硬件工程师面试题集(含答案-很全)

硬件工程师面试题集 (DSP,嵌入式系统,电子线路,通讯,微电子,半导体) 1、下面是一些基本的数字电路知识问题,请简要回答之。 (1) 什么是Setup和Hold 时间? 答:Setup/Hold Time 用于测试芯片对输入信号和时钟信号之间的时间要求。建立时间(Setup Time)是指触发器的时钟信号上升沿到来以前,数据能够保持稳定不变的时间。输入数据信号应提前时钟上升沿(如上升沿有效)T 时间到达芯片,这个T就是建立时间通常所说的SetupTime。如不满足Setup Time,这个数据就不能被这一时钟打入触发器,只有在下一个时钟上升沿到来时,数据才能被打入触发器。保持时间(Hold Time)是指触发器的时钟信号上升沿到来以后,数据保持稳定不变的时间。如果Hold Time 不够,数据同样不能被打入触发器。 (2) 什么是竞争与冒险现象?怎样判断?如何消除? 答:在组合逻辑电路中,由于门电路的输入信号经过的通路不尽相同,所产生的延时也就会不同,从而导致到达该门的时间不一致,我们把这种现象叫做竞争。由于竞争而在电路输出端可能产生尖峰脉冲或毛刺的现象叫冒险。如果布尔式中有相反的信号则可能产生竞争和冒险现象。解决方法:一是添加布尔式的消去项,二是在芯片外部加电容。 (3) 请画出用D 触发器实现2 倍分频的逻辑电路 答:把D 触发器的输出端加非门接到D 端即可,如下图所示: (4) 什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求? 答:线与逻辑是两个或多个输出信号相连可以实现与的功能。在硬件上,要用OC 门来实现(漏极或者集电极开路),为了防止因灌电流过大而烧坏OC 门,应在OC 门输出端接一上拉电阻(线或则是下拉电阻)。 (5) 什么是同步逻辑和异步逻辑?同步电路与异步电路有何区别? 答:同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系.电路设计可分类为同步电路设计和异步电路设计。同步电路利用时钟脉冲使其子系统同步运作,而异步电路不使用时钟脉冲做同步,其子系统是使用特殊的“开始”和“完成”信号使之同步。异步电路具有下列优点:无时钟歪斜问题、低电源消耗、平均效能而非最差效能、模块性、可组合和可复用性。 (7) 你知道那些常用逻辑电平?TTL 与COMS 电平可以直接互连吗? 答:常用的电平标准,低速的有RS232、RS485、RS422、TTL、CMOS、LVTTL、LVCMOS、ECL、ECL、LVPECL 等,高速的有LVDS、GTL、PGTL、CML、HSTL、SSTL 等。 一般说来,CMOS 电平比TTL 电平有着更高的噪声容限。如果不考虑速度和性能,一般TTL 与CMOS 器件可以互换。但是需要注意有时候负载效应可能引起电路工作不正常,因为有些TTL 电路需要下一级的输入阻抗作为负载才能正常工作。 (6) 请画出微机接口电路中,典型的输入设备与微机接口逻辑示意图(数据接口、控制接口、锁存器/缓冲器)

软件测试工程师笔试题目和答案

一、判断题 1.软件测试的目的是尽可能多的找出软件的缺陷。(Y) 2.Beta测试是验收测试的一种。(Y) 3.验收测试是由最终用户来实施的。(N) 4.项目立项前测试人员不需要提交任何工件。(Y) 5.单元测试能发现约80%的软件缺陷。(Y) 6.代码评审是检查源代码是否达到模块设计的要求。(N) 7.自底向上集成需要测试员编写驱动程序。(Y) 8.负载测试是验证要检验的系统的能力最高能达到什么程度。(N) 9.测试人员要坚持原则,缺陷未修复完坚决不予通过。(N) 10.代码评审员一般由测试员担任。(N) 11.我们可以人为的使得软件不存在配置问题。(N) 12.集成测试计划在需求分析阶段末提交。(N) 二、选择题 1.软件验收测试的合格通过准则是:(ABCD) A.软件需求分析说明书中定义的所有功能已全部实现,性能指标全部达到要求。B.所有测试项没有残余一级、二级和三级错误。 C.立项审批表、需求分析文档、设计文档和编码实现一致。 D.验收测试工件齐全。 2.软件测试计划评审会需要哪些人员参加?(ABCD) A.项目经理 B.SQA负责人

D.测试组 3.下列关于alpha测试的描述中正确的是:(AD) A.alpha测试需要用户代表参加 B.alpha测试不需要用户代表参加 C.alpha测试是系统测试的一种 D.alpha测试是验收测试的一种 4.测试设计员的职责有:(BC) A.制定测试计划 B.设计测试用例 C.设计测试过程、脚本 D.评估测试活动 5.软件实施活动的进入准则是:(ABC) A.需求工件已经被基线化 B.详细设计工件已经被基线化 C.构架工件已经被基线化 D.项目阶段成果已经被基线化 三、填空题 1.软件验收测试包括:正式验收测试,alpha测试,beta测试。 2.系统测试的策略有:功能测试,性能测试,可靠性测试,负载测试,易用性测试,强度测试,安全测试,配置测试,安装测试,卸载测试,文挡测试,故障恢复测试,界面测试,容量测试,兼容性测试,分布测试,可用性测试,(有的可以合在一起,分开写只要写出15就满分哦) 3.设计系统测试计划需要参考的项目文挡有:软件测试计划,软件需求工件和迭代计划。

Web前端开发工程师面试题

一、CSS (1) 二、HTML (3) 三、jquery (3) 四、综合 (4) 一、C SS 1.CSS样式表根据所在网页的位置,可分为?(B ) A.行内样式表、内嵌样式表、混合样式表 B.行内样式表、内嵌样式表、外部样式表 C.外部样式表、内嵌样式表、导入样式表 D.外部样式表、混合样式表、导入样式表 2.对于标签,其中*代表(C ) A. 注释的时候才用上 B. 没有这个标签 C. 通配符,意思是所有的标签 3.在CSS中下面哪种方法表示超链接文字在鼠标经过时,超链接文字无下划线?(B ) A. A:link{TEXT-DECORATION: underline } B. A:hover {TEXT-DECORATION: none} C. A:active {TEXT-DECORATION: blink } D. A:visited {TEXT-DECORATION: overline } 4.下面代码片段,说法正确的是:(B ) .DIV1 { position:absolute; line-height:22px; height:58px; background-color: #FF0000; } A. Line-height:22px;修饰文本字体大小 B. position:absolute;表示绝对定位,被定位的元素位置固定 C. height:58px; 表示被修饰的元素距离别的元素的距离 D. background-color: #FF0000; 表示被修饰的元素的背景图像 5.用CSS设置DIV的左边为红色实线,下面设置正确的是(C ) A.style=”border-top: #ff0000 1 solid;”

硬件工程师笔试题硬件工程师笔试题

硬件工程师面试试题 模拟电路 1、基尔霍夫定理的内容是什么?(仕兰微电子) 2、平板电容公式(C=εS/4πkd)。(未知) 3、最基本的如三极管曲线特性。(未知) 4、描述反馈电路的概念,列举他们的应用。(仕兰微电子) 5、负反馈种类(电压并联反馈,电流串联反馈,电压串联反馈和电流并联反馈);负反馈的优点(降低放大器的增益灵敏度,改变输入电阻和输出电阻,改善放大器的线性和非线性失真,有效地扩展放大器的通频带,自动调节作用)(未知) 6、放大电路的频率补偿的目的是什么,有哪些方法?(仕兰微电子) 7、频率响应,如:怎么才算是稳定的,如何改变频响曲线的几个方法。(未知) 8、给出一个查分运放,如何相位补偿,并画补偿后的波特图。(凹凸) 9、基本放大电路种类(电压放大器,电流放大器,互导放大器和互阻放大器),优缺点,特别是广泛采用差分结构的原因。(未知) 10、给出一差分电路,告诉其输出电压Y+和Y-,求共模分量和差模分量。(未知) 11、画差放的两个输入管。(凹凸) 12、画出由运放构成加法、减法、微分、积分运算的电路原理图。并画出一个晶体管级的运放电路。(仕兰微电子) 13、用运算放大器组成一个10倍的放大器。(未知) 14、给出一个简单电路,让你分析输出电压的特性(就是个积分电路),并求输出端某点的 rise/fall时间。(Infineon笔试试题) 15、电阻R和电容C串联,输入电压为R和C之间的电压,输出电压分别为C 上电压和R上电压,要求制这两种电路输入电压的频谱,判断这两种电路何为高通滤波器,何为低通滤波器。当RC<

QA笔试+测试工程师笔试题

软件测试题目 一、判断题(每题2分,20) 1、软件测试就是为了验证软件功能实现的是否正确,是否完成既定目标的活动,所以软件测试在软件工程的后期才开始具体的工作。(初级)(×) 2、发现错误多的模块,残留在模块中的错误也多。( √) (初级) 3、测试人员在测试过程中发现一处问题,如果问题影响不大,而自己又可以修改,应立即将此问题正确修改,以加快、提高开发的进程。(×)(初级) 4、单元测试通常应该先进行“人工走查”,再以白盒法为主,辅以黑盒法进行动态测试。 (√)(中级) 5、功能测试是系统测试的主要内容,检查系统的功能、性能是否与需求规格说明相同。(√)(中级) 6、软件质量管理即QM由QA和QC构成,软件测试属于QC的核心工作内容。(√)(高级) 7、软件测试只能发现错误,但不能保证测试后的软件没有错误。(√) 8、软件就是程序。(X) 9、测试只要做到语句覆盖和分支覆盖,就可以发现程序中的所有错误。(X) 10、I18N测试是指对产品做出具有国际性的规划,而L10N测试则是指对软件做出符合本地需求更改工作。(√)【高级】 二、选择题(每题2分20 ) 1、进行软件质量管理的重要性有:(ABCD)【中级】 A、维护降低成本 B、法律上的要求 C、市场竞争的需要 D、质量标准化的趋势 E、软件工程的需要 F、CMM过程的一部分 G、方便与客户进一步沟通为后期的实施打好基础 2、以测试的形态分测试可以分为:(ABC)【中级】 A、建构性测试 B、系统测试 C、专项测试

D、单元测试 E、组件测试 F、集成测试 3、选出属于黑盒测试方法的选项(ABC)【初级】 A、测试用例覆盖 B、输入覆盖 C、输出覆盖 D、分支覆盖 E、语句覆盖 F、条件覆盖 4、编写测试计划的目的是:(ABC)【中级】 A、使测试工作顺利进行 B、使项目参与人员沟通更舒畅 C、使测试工作更加系统化 D、软件工程以及软件过程的需要 E、软件过程规范化的要求 F、控制软件质量 5、依存关系有4种分别是:(ABCD)【高级】 A、开始-结束 B、开始-开始 C、结束-开始 D、结束-结束 E、开始-实施-结束 F、结束-审核-开始 6、软件质量管理(QM)应有质量保证(QA)和质量控制(QC)组成,下面的选项属于QC得是:(ABC)【高级】 A、测试 B、跟踪 C、监督 D、制定计划 E、需求审查 F、程序代码审查 7、实施缺陷跟踪的目的是:(ABCD)【中级】 A、软件质量无法控制 B、问题无法量化 C、重复问题接连产生 D、解决问题的知识无法保留 E、确保缺陷得到解决 F、使问题形成完整的闭环处理 8、使用软件测试工具的目的:(ABC)【中级】 A、帮助测试寻找问题 B、协助问题的诊断 C、节省测试时间 D、提高Bug的发现率 E、更好的控制缺陷提高软件质量 F、更好的协助开发人员 9、典型的瀑布模型的四个阶段是:(ABCD)【高级】 A、分析 B、设计 C、编码

软件测试工程师笔试理论题库1

软件测试工程师笔试理论题库1

理论题库 1 2 3 4 5 6 7 8 9 10 C C DBC C D A B D B C 11 12 13 14 15 16 17 18 19 20 C D B B C B B D A D 21 22 23 24 25 26 27 28 29 30 D B B A A AC C D D C 31 32 33 34 35 36 37 38 39 40 B C D C DBC D A C C D 41 42 43 44 45 46 47 48 49 50 BAA B ADD B B A D B B D 51 52 53 54 55 56 57 58 59 60 C D B D C B A C A B 61 62 63 64 65 66 67 68 69 70 C B A D A C B B C C 71 72 73 74 75 76 77 78 79 80 A A D D D A D B D B 81 82 83 84 85 86 87 88 89 90 B A D C D B C B C B 91 92 93 94 95 96 97 98 99 100 A B B A BA AD A C A C 单选题 1.是常见的接受电子邮件协议。A.HTTPS B.ET C.POP3 D.DNS

2.系统中有四个作业,它们的到达时间、运行时间、开始时间、完成时间和周转时间如表1所示,该系统采用的作业调度算法是。 表1 作业到达 时间 计算时 间(分) 开始 时间 完成 时间 周转时 间(分) J1 8:00 60 8:00 9:00 60 J2 8:10 20 9:10 9:30 80 J3 8:20 10 9:00 9:10 50 J4 8:40 15 9:30 9:45 65 A、先来先服务 B、短作业优先 C、响应比高者优先 D、不能确定 3.数据库系统实现数据独立性是因为采用了 (1) 。 当两个子查询的结果 (2) 时,能够执行并、交、差操作。 SELECT语句中“SELECT DISTINCT”表示查询结果中 (3) 。 (1) A、层次模型 B、网状模型 C、关系模型 D、

前端工程师面试自我介绍

前端工程师面试自我介绍 本人XXX,我是一个实事求是、不浮夸的人。喜欢参与团队工作 与集体活动。重视自身素质培养。 软件开发基础扎实,对编程充满热情,学习能力强,能快速掌握新技术 在校期间,曾获20XX—20XX学年度优秀团员,20XX—20XX学年 度丙等奖学金,20XX年9月份军训优秀个人。曾是系学生会干事, 在通过与其他干事的合作,主要对学生宿舍公共卫生、宿舍文化、 晚归等进行监督管理,评定优秀寝室,不定期组织学生活动(寝室篮 球赛、跳蚤文化市场等) 实习期间就职于金融事业本部,部门业务涉及全国数省的农村信用社核心业务系统、城市商业银行支付系统以及外围辅助服务系统。我一直在广东四地市的农信社做软件开发工作。先后参与了广东省 四地市的银行卡系统、综合业务系统卡改造、电话银行系统、自助 终端系统等的开发和实施。相信您的信任与我的实力将为我们带来 共同的成功!希望我能为贵公司贡献自己的力量! 欲善其事,必先利其器。大学几年,我始终坚持天道酬勤的原则,一日三省,自信品格的日趋完善;勇于行事,务实求新,自信工作有 所成绩;三更灯火,寒窗苦读,相信学有所成。 在校期间,我始终以提高自身的综合素质为目的,以个人的全面发展为奋斗方向,树立正确的人生观和价值观,光荣地加入了中国 共产党。 为适应社会发展的需求,我努力学好专业知识,多次获得奖学金,较深入、全面地掌握了软件编程、硬件维护与开发、网络应用技术 等计算机科学基础理论以及计算机在企业管理、工业生产、辅助设 计制造等方面的应用知识,同时注意结合自身专业特点,结合动手 实践,先后参与了校新闻网页设计大赛、校程序设计大赛、挑战杯

测试工程师面试题(100分钟完成)

测试工程师面试题 (答题时间100分钟) A.测试基础 1、白盒测试与黑盒测试的区别是什么? 白盒测试:测试程序内部结构,内部特征 黑盒测试:通过界面测试程序功能,查看输入输出结果 2、什么是正交试验法,使用场景是什么? 研究多因素多水平的一种设计方法 场景:多因素多条件的情况下 3、数据库中,游标是什么?其作用是什么? 。 游标:从多条数据中检索集中提取一条数据 作用:当做指针,保存查询结果,方便后续使用 4、简述常用的Bug管理或者用例管理工具,并且描述其中一个工作流程。 常用:testlink,QC,mantis,禅道,TAPD,JIRA TAPD:产品创建(需求,计划,模块)-->项目创建(PM排期、任务分解)-->研发(编码、

单元测试等)-->测试(测试计划,用例,执行,bug,报告等) 基于敏捷开发 5、智力题 6、一个屋子有一个门(门是关闭的)和3盏电灯。屋外有3个开关,分别与这3 盏灯相连。你可以随意操纵这些开关,可一旦你将门打开,就不能变换开关了。请确定每个开关具体管哪盏灯。 一个开关关掉,一个打开,一个打开几分钟、然后关掉 进屋后,亮这的是第二个开关,关闭的两灯中,有热量的为第三个开关,剩下的为第一个开关 B.自动化测试 1、自动化测试与测试自动化的区别。 自动化测试:利用工具录制或编写脚本进行功能以及性能测试 测试自动化:让测试过程脱离人工。对于控制成本,控制质量,回溯质量和减少测试周期都有积极影响的一种研发过程

2、列举出你熟悉的自动化工具,并说明其实现原理。 Web应用类工具:selenium 客户端建立与selenium-RC server 的连接。 Selenium RC Server 启动一个浏览器,并注入JS 代码 将Selenese 代码传到客户端的Selenium-Core 中。 Selenium-Core 翻译并解析执行用户录制的操作。 让代理Server 进行通讯 Remote Control Server 负责跟远程Web 应用服务器进行通讯。 操作完成,显示结果,并执行下一指令。 3、自动化测试的使用场景? 软件需求变更不是很快(尤其是UI自动化)}, 项目周期长 自动化测试脚本重复使用 4、什么是关键字驱动? 功能自动化测试框架,表格驱动测试或者基于动作字的测试 5、高质量的自动化脚本应该具备哪些特性? 1、不需要深入的工作或计划

Web前端开发工程师笔试题.doc

Web前端开发工程师笔试题 Web前端开发工程师笔试题篇1 1. 在一个框架的属性面板中,不能设置下面哪一项。( C ) A.源文件; B.边框颜色; C.边框宽度 D.滚动条 2. CSS样式表根据所在网页的位置,可分为?(B ) A.行内样式表、内嵌样式表、混合样式表 B.行内样式表、内嵌样式表、外部样式表 C.外部样式表、内嵌样式表、导入样式表 D.外部样式表、混合样式表、导入样式表 3.对于标签,其中*代表GET或( C ) A. SET B. PUT C. POST D. INPUT 4. HTML代码 表示?(D ) A. 创建表格 B. 创建一个滚动菜单 C. 设置每个表单项的内容

D. 创建一个下拉菜单 5. 在表单中包含性别选项,且默认状态为男被选中,下列正确的是( A ) A. 男 B. 男 C. 男 D. 男 6. 在CSS中下面哪种方法表示超链接文字在鼠标经过时,超链接文字无下划线?(B ) A. A:link{TEXT-DECORATION: underline } B. A:hover {TEXT-DECORATION: none} C. A:active {TEXT-DECORATION: blink } D. A:visited {TEXT-DECORATION: overline } 7. 下面代码片段,说法正确的是:(B ) .DIV1 { position:absolute; line-height:22px; height:58px; background-color: #FF0000; }

A. Line-height:22px;修饰文本字体大小 B. position:absolute;表示绝对定位,被定位的元素位置固定 C. height:58px; 表示被修饰的元素距离别的元素的距离 D. background-color: #FF0000; 表示被修饰的元素的背景图像 8. 在Dreamweaver 中, 在设置各个框架属性时, 参数Scroll 是用来设置( B )属性的。 A. 是否进行颜色设置 B. 是否出现滚动条 C. 是否设置边框宽度 D. 是否使用默认边框宽度 9. 以下链接到电子邮件的正确格式是(B ) A. 邮箱 B. 邮箱 C. 邮箱 D. 邮箱 10. 若将Dreamweaver中2个横向相邻的单元格合并,则两单元格中文字会( A ) A.文字合并 B.左单元格文字丢失 C.右单元格文字丢失 D.系统出错

最新软件测试工程师笔试题以及答案汇总

以下是收集的最新的软件测试工程师题目,希望对大家有帮助。 一、判断题 1.软件测试的目的是尽可能多的找出软件的缺陷。(Y) 2.Beta测试是验收测试的一种。(Y) 3.验收测试是由最终用户来实施的。(N) 4.项目立项前测试人员不需要提交任何工件。(Y) 5.单元测试能发现约80%的软件缺陷。(Y) 6.代码评审是检查源代码是否达到模块设计的要求。(N) 7.自底向上集成需要测试员编写驱动程序。(Y) 8.负载测试是验证要检验的系统的能力最高能达到什么程度。(N) 9.测试人员要坚持原则,缺陷未修复完坚决不予通过。(N) 10.代码评审员一般由测试员担任。(N) 11.我们可以人为的使得软件不存在配置问题。(N) 12.集成测试计划在需求分析阶段末提交。(N) 二、选择题 1.软件验收测试的合格通过准则是:(ABCD) A.软件需求分析说明书中定义的所有功能已全部实现,性能指标全部达到要求。B.所有测试项没有残余一级、二级和三级错误。 C.立项审批表、需求分析文档、设计文档和编码实现一致。 D.验收测试工件齐全。 2.软件测试计划评审会需要哪些人员参加?(ABCD) A.项目经理 B.SQA负责人 C.配置负责人 D.测试组 3.下列关于alpha测试的描述中正确的是:(AD) A.alpha测试需要用户代表参加 B.alpha测试不需要用户代表参加 C.alpha测试是系统测试的一种 D.alpha测试是验收测试的一种 4.测试设计员的职责有:(BC) A.制定测试计划

B.设计测试用例 C.设计测试过程、脚本 D.评估测试活动 5.软件实施活动的进入准则是:(ABC) A.需求工件已经被基线化 B.详细设计工件已经被基线化 C.构架工件已经被基线化 D.项目阶段成果已经被基线化 6、为保证测试活动的可控性,必须在软件测试过程中进行软件测试配置管理,一般来说,软件测试配置管理中最基本的活动包括_A_____ A.配置项标识、配置项控制、配置状态报告、配置审计 B.配置基线确立、配置项控制、配置报告、配置审计 C.配置项标识、配置项变更、配置审计、配置跟踪 D.配置项标识、配置项控制、配置状态报告、配置跟踪 7、__B____方法根据输出对输入的依赖关系设计测试用例。 A.路径测试B.等价类 C.因果图D.边界值 8、在C++语言中,若类C中定义了一个方法int f(int a,int b),那么方法___A___不能与该方法同时存在于类C中 A.int f(int x,int y)B.int f(float a,int b) C.float f(int x,float y)D.int f(int x,float y) 9、下列关于软件验收测试的合格通过准则错误的是:__C____ A.软件需求分析说明书中定义的所有功能已全部实现,性能指标全部达到要求; B.所有测试项没有残余一级、二级和三级错误; C.立项审批表、需求分析文档、设计文档和编码实现不一致; D.验收测试工件齐全 三、填空题 1.软件验收测试包括:正式验收测试,alpha测试,beta测试。 2.系统测试的策略有:功能测试,性能测试,可靠性测试,负载测试,易用性测试,强度测试,安全测试,配置测试,安装测试,卸载测试,文挡测试,故障恢复测试,界面测试,容量测试,兼容性测试,分布测试,可用性测试,(有的可以合在一起,分开写只要写出15就满分哦) 3.设计系统测试计划需要参考的项目文挡有:软件测试计划,软件需求工件和迭代计划。 4.对面向过程的系统采用的集成策略有:自顶向下,自底向上两种。

web前端开发工程师笔试题及答案

web前端开发工程师笔试题 1.html的含义是什么,其主体部分由什么标记构成? Html是一种标准,一种规范,它通过标记符号来标记要显示在网页中的各个部分,被称为超文本标记语言。 标记和之间的内容构成了html的主体部分。网页中所有内容,包括文字,图片,连接都包含在此标记符内。 2.说明在网页设计xxDIV标签的作用 Div中文名被称为“层次”可以把文档分割成独立的,不同的部分。 它可以用作严格的组织工具,并且不适用任何格式与其他关联。 3.css指的是什么?在网页设计中为什么要用到css技术? css是级联样式表,用来进行网页风格设计。使用样式表可以统一的控制html中各标志的显示属性。精确的确定元素的位置,扩充网页外观和特殊效果的显示能力。 4.css中id和class怎么定义,哪个定义的优先级别高?如果class定义一个html元素没边框,而id定义这个元素有边框,结果呢?

先听id的。 5.IE6下为什么不能第一1PX左右高度的容器? IE6默认的行高造成的。 6.怎样才能让层显示在FLASH之上? 给FLASH设置透明,param value=transparent。 7.怎样使一个层垂直剧中于浏览器中? 8. firefox嵌套div标签的剧中问题假定有如下情况:

如果要实现b在a中剧中放置该如何实现? 解决办法就是除了需要在a中设置text-align属性为center之后,还需要设置b的横向margin为auto。例如设置b的CSS样式为: margin:0 auto; 所以,设置如下就可以实现居中:
下载浏览速度快。被更多的用户访问。推广时被更多的机器访问。更少的代码,易于维护,宽带要求降低,降低成本,更容易被搜索引擎搜索到。改版方便,不需要改变内容。提供打印页面不用复制。 10.怎样解决超链接访问过后hover样式就不出现的问题。 改变CSS属性排列的顺序L-V-H-A(link ,visted,hover,active.)

常见硬件工程师笔试题标准答案

硬件工程师笔试题 一、电路分析: 1、竞争与冒险 在组合逻辑中,在输入端的不同通道数字信号中经过了不同的延时,导致到达该门的时间不一致叫竞争。因此在输出端可能产生短时脉冲(尖峰脉冲)的现象叫冒险。 常用的消除竞争冒险的方法有:输入端加滤波电容、选通脉冲、修改逻辑设计等。 2、同步与异步 同步逻辑就是时钟之间有固定的因果关系。异步逻辑就是各时钟之间没有固定的因果关系。同步电路:存储电路中所有触发器的时钟输入端都接同一个时钟脉冲源,因而所有触发器的状态的变化都与所加的时钟脉冲信号同步。 异步电路:电路没有统一的时钟,有些触发器的时钟输入端与时钟脉冲源相连,只有这些触发器的状态变化与时钟脉冲同步,而其它的触发器的状态变化不与时钟脉冲同步。 异步电路不使用时钟脉冲做同步,其子系统就是使用特殊的“开始”与“完成”信号使之同步 同步就就是双方有一个共同的时钟,当发送时,接收方同时准备接收。异步双方不需要共同的时钟,也就就是接收方不知道发送方什么时候发送,所以在发送的信息中就要有提示接收方开始接收的信息,如开始位,结束时有停止位 3、仿真软件:Proteus 4、Setup 与Hold time Setup/hold time 就是测试芯片对输入信号与时钟信号之间的时间要求。建立时间就是指触发器的时钟信号上升沿到来以前,数据稳定不变的时间。输入信号应提前时钟上升沿(如上升沿有效)T时间到达芯片,这个T就就是建立时间-Setup time、如不满足setup time,这个数据就不能被这一时钟打入触发器,只有在下一个时钟上升沿,数据才能被打入触发器。保持时间就是指触发器的时钟信号上升沿到来以后,数据稳定不变的时间。如果hold time不够,数据同样不能被打入触发器。 5、IC设计中同步复位与异步复位的区别 同步复位在时钟沿采集复位信号,完成复位动作。异步复位不管时钟,只要复位信号满足条件,就完成复位动作。异步复位对复位信号要求比较高,不能有毛刺,如果其与时钟关系不确定,也可能出现亚稳态。 6、常用的电平标准 TTL: transistor-transistor logic gate晶体管-晶体管逻辑门 CMOS:Complementary Metal Oxide Semiconductor互补金属氧化物半导体 LVTTL(Low Voltage TTL)、LVCMOS(Low Voltage CMOS):3、3V、2、5V RS232、RS485 7、TTL电平与CMOS电平

华为软件测试工程师笔试题

华为软件测试工程师笔试题 软件测试工程师笔试题目 一(填空 1、系统测试使用( C )技术, 主要测试被测应用的高级互操作性需求, 而无需考虑被测试应用的内部结 构。 A、单元测试 B、集成测试 C、黑盒测试 D、白盒测试 2、单元测试主要的测试技术不包括(B )。 A、白盒测试 B、功能测试 C、静态测试 D、以上都不是 3、(A )的目的是对最终软件系统进行全面的测试,确保最终软件系统满足产品需求并且遵循系统设计。 A、系统测试 B、集成测试 C、单元测试 D、功能测试 4、如果一个产品中次严重的缺陷基本完成修正并通过复测,这个阶段的成品是( A )。 A、 Alpha版 B、Beta版 C、正版 D、以上都不是 5、自底向上法需要写(A )。 A、驱动程序 B、桩程序 C、驱动程序和桩程序 D、 .以上都不是 6、测试ATM取款功能,已知取款数只能输入正整数,每次取款数要求是100的倍数且不能大于500,下 面哪个是正确的无效等价类(C)

A、(0,100)、(100,200)、(200,300)、(300,400)、(400,500)、(500,+?); B、(500,+?) C、(500,+?)、任意大于0小于500的非100倍数的整数; D、(-?,100)、(100,200)、(200,300)、(300,400)、(400,500)、(500,+?); 7、因果图/判定表工程方法在以下那种情况下不适用(C) A、输入输出明确,或输入输出因果关系明确的情况下 B、被分析的特性或功能点复杂,输入项目很多的情况下 C、系统输入之间相互约束多,需要做大范围的组合测试情况下 D、系统输入之间基本没有相互联系 8、以下说法不正确的是(D) A、测试原始需要明确了产品将要实现了什么 B、产品测试规格明确了测试设计内容 C、测试用例明确了测试实现内容 D、以上说法均不正确 9、可测试性中,有关系统可观察性的理解,下面说法那个是错误的( B) A、系统所有的输出结果可观察,错误输出易于识别; B、系统运行状态和内部处理的过程信息可观察; C、系统内部变量名及其取值可观察; D、系统内部重要对象的状态和属性可观察; E、系统内部重要的操作的处理时间可观察; F、系统内部重要的资源的占用情况及单个资源的创建、保持、释放过程可观察 10、测试脚本的编写规范强调:(ABCD )

软件测试工程师笔试题

一、判断题 1.软件测试就是为了验证软件功能实现的是否正确,是否完成既定目标的活动,所以软件测试在软件工程的后期才开始具体的工作。(?) 分析:软件测试人员应在需求阶段就加入到开发过程中。因为软件的质量问题会随着软件开发周期的不断展开而不断放大的,而更正质量问题的成本也是不断放大的,也就是说在需求阶段出现的小问题,到开发完成后缺陷可能成几何倍数放大,而修改所需要的成本也会不断的放大,如果测试工程师能够尽早的加入其中的话可以尽早的找出问题,及时发现,避免问题最后放大到不可收拾。 2.发现错误多的模块,残留在模块中的错误也多。(?) 分析:开发人员能力参差不齐,当发现某模块bug数越多,修改的bug越多,则引入新的bug就会越多,那么这些新的bug发现的难度要比修改前发现bug要大的多,其隐藏未发现的bug数量就越多,那么相应的模块质量也就越差。代码复用也可能造成该模块的bug比较多。 3.测试人员在测试过程中发现一处问题,如果影响不大,而自己又可以修改,应立即将此问题正确修改,以加快、提高开发的进程。(?) 分析:正确流程应提交错误缺陷,此时开发组人员会有记录,并修改此问题。如果测试人员自己修改,会导致开发人员无记录,容易出现冗余系统版本,并不清楚哪个为最终版本。 4.单元测试通常应该先进行“人工走查”,再以白盒法为主,辅以黑盒法进行动态测试。(?) 5.功能测试是系统测试的主要内容,检查系统的功能、性能是否与需求规格说明相同。(?) 6.软件质量管理即QM是由QA和QC构成,软件测试属于QC的核心工作内容。(?) 补充:
QA(QualityAssurance)品质保证;
QC(QualityConterller)品质控制员 7.软件测试只能发现错误,但不能保证测试后的软件没有错误。(?) 8.软件就是程序。(?) 概念:软件是计算机程序,程序所用的数据以及相关文档资料的结合。软件又分为系统软件和应用软件两大类。 9.测试只要做到语句覆盖和分支覆盖,就可以发现程序中的所有错误。(?) 分析:白盒测试用例设计6种覆盖方法: a.语句覆盖 b.判定覆盖 c.条件覆盖 d.判定/条件覆盖 e.组合覆盖 f.路径覆盖 软件测试的目的是发现软件中的错误,但不能保证软件没有错误。 10.I18N测试是指对产品做出具有国际性的规划,而L10N测试则是指软件做出符合本地的工作。(?)

光学工程师笔试题目

光学工程师岗位笔试题目 1.135相机镜头焦距50mm(底片尺寸36×24㎜) (1)相机视场角如何计算?(可只列公式)(2)想将焦距扩大到100㎜,如何处理? 2.变焦距镜头需要满足什么要求?轴上移动一个镜片或镜组(镜组中间无固定镜片)最多能形成几个视场? 3.简述七种像差的含义及对成像质量的影响? 4,如何控制球差? 5,那些光学系统为大像差系统?大像差系统如何评价像质量 6,简述光学系统损耗光能得原因和提高光学系统透光率的方法? 7,ZEMAX软件中像差评价函数SPHA与实际像差有什么差别? 8, 简述ZEMAX软件如何进行公差分析? 9,简述非球面,衍射光学面在光学系统中有什么作用?

10,谈谈你用光学软件ZEMAX软件进行光学系统优化的体会? 08年北交光学工程复试笔试题目光电子学一,解释下列概念(8分/个) 1,受激辐射:当原子处于激发态E2时,如果恰好有能量(这里E2 )E1)的光子射来,在入射光子的影响下,原子会发出一个同样的光子而跃迂到低能级E1上去,这种辐射叫做受激辐射。 2,增益饱和:在抽运速率一定的情况下,当入射光的光强很弱时,增益系数是一个常数; 当入射光强增大到一定程度后,增益系数随光强的增大而减小。 3,谐振腔作用:1,提供光学正反馈作用,在腔内建立并维持激光振荡过程。2,产生对实际振荡光束的限制作用,即模式限制作用。 4,拉曼-乃兹声光效应 5,夫兰茨-凯尔迪什效应 6,非线性光学 7,光电导效应 8,散粒噪声 9,光波导色散特性 10,主动锁模:主动锁模指的是通过由外部向激光器提供调制信号的途径来周期性地改变激光器的增益或损耗从而达到锁模目的。 二,简答 1,光电探测器的本质 2, CCD由哪几部分构成,和作用 3,电光调Q的基本方法

初级软件测试工程师面试题笔试题集

初级软件测试工程师面试题笔试题集 1操作系统 1.1wi ndows 系统 1如何在win2003中如何安装In ternet信息服务(IIS)? 2如何在Dos下面用命令将D盘下面test目录文件夹及子目录打印 并保存? 3进程和线程的区别? 1.2Li nux 系统 (1)局域网的网络地址192.168.1.0/24,局域网络连接其它网络的网关地址是192.168.1.1。 主机192.168.1.20访问172.16.1.0/24网络时,其路由设置正确的是。 A route add -net 192.168.1.0 gw 192.168.1.1 n etmask 255.255.255.0 metric 1 B route add —et 172.16.1.0 gw 192.168.1.1 n etmask 255.255.255.255 metric 1 C route add -net 172.16.1.0 gw 172.16.1.1 netmask 255.255.255.0 metric 1 D route add default 192.168.1.0 n etmask 172.168.1.1 metric 1

(2)下列信息是某系统用ps - ef命令列出的正在运行的进程,进程是运行In ternet超级服务 器,它负责监听In ter net sockets上的连接,并调用合适的服务器来处理接收的信息。 A root 1 4.0 0.0 344 204? S 17:09 0:00 in it B root 2 0.0 0.1 2916 1520? S 17:09 0:00 /sbin/getty C root 3 0.0 0.2 1364 632? S 17:09 0:00 /usr/sb in /syslogd D root 4 0.0 1344 1204? S 17:09 0:10 /usr/sbi n/i netd XXX公司_技术中心—测试_初级测试程师面试题 (3)对名为mayingbao的文件用chmod 551 mayingbao进行了修改,则它的许可权是。 A -rwxr-xr-x B -rwxr-r — C -r- - — D -r-xr-x—x ⑷ 将home/stud1/mayingbao目录做归档压缩,压缩后生成 mayin gbao.tar.gz文件,并 将此文件保存到/home目录下,实现此任务的tar命令格式。 2数据库 (1)对以下已知成绩关系如图1所示。 执行SQL语句: SELECT COUNTDISTINCT学号) FROM成绩 WHERE分数〉60

相关主题
文本预览
相关文档 最新文档