当前位置:文档之家› 数字电路实验指导书

数字电路实验指导书

数字电路实验指导书
数字电路实验指导书

实验一门电路逻辑功能测试及组成其他门电路

一、实验目的

1.掌握基本门电路逻辑功能测试方法。

2.掌握用与非门组成其他逻辑门的方法。

3.掌握Multisim元器件库中查找常用元件的方法。

二、实验设备及元器件

1. PC人计算机及仿真软件Multisim 10。

2. 虚拟元件:与非门7400N、74LS04N、异或门7486N、三态门74LS125N。

3. 虚拟仪器:万用表XMM1、信号发生器XFG1、测量元件中的指示灯X1等。

三、实验内容

1.测试与非门的逻辑功能

(1)单击电子仿真软件Multisim 10基本界面左侧左列真实元件工具条的“TTL”按钮,从弹出的对话框中选取一个与非门7400N,将它放置在工作平台上;单击真实元件工具条的“电源”(Source)按钮,将电源和底线调出放置在电子平台上;单击真实元件工具条的“基本”(Basic)按钮,调出单刀双掷开关“SPDT”两只并将它们的key设置成“A”和“B”;单击真实元件工具条的“指示器”按钮其中调出红色指示灯一盏并把它放置在工作区中作为输出指示。搭建后的电路如图3.1.1所示。输出表达式Y=A·B。

图3.1.1

(2)点击电子仿真软件Multisim 10基本界面右侧虚拟仪器工具条“万用表”按钮,调出虚拟万用表“XMM1”放置在电子平台上,将“XMM1”仪器连成仿真电路。

(3)双击虚拟万用表图标“XMM1”,将出现它的放大面板,按下放大面板上的“电压”和“直流”两个按钮,将它用来测量直流电压如图3.1.2所示。

(4)打开仿真开关,按照表 3.1.1,分别按动“A”和“B”键,使与非门的两个输入端为表中4种情况,从万用表的放大面板上读出各种情况的直流电位,将它们填入表内,并将电位转换成逻辑状态填入表3.1.1内。

图3.1.2

(5)同样的步骤完成门电路7404N、7402N、7408N的功能测试,实验步骤自拟。

2. 用与非门组成其他功能门电路

(1)用与非门组成或门:

①根据摩根定律,或门的逻辑函数表达式Q=A+B可以写成:Q=A.B,因此,可以用三个与非门构成或门。

图3.1.3

②从电子仿真软件Multisim 10基本界面左侧左列真实元件工具条的“TTL”按钮中调出3个与非门74LS00N;从真实元件工具条的“Basic”按钮中调出2个单刀双掷开关,并分别将它们设置成Key=A和Key=B;从真实元件工具条的中调出电源和底线;并调出万用表将所有的元件和万用表连接成如图3-3所示的电路。

③打开仿真开关,按表3.1.2要求,分别按动“A”和“B”,观察并记录万用表的值,将结果填入表3.1.2中。

(2)用与非门组成异或门

①按图1-4所示调出元件并组成异或门仿真电路。

图3.1.4

②打开仿真开关,按表3.1.3要求,分别按动“A”和“B”,观察并记录指示灯的发光情况,将结果填入表3.1.3中。

③按照图3.1.5调出7486N门电路输出端连接万用表。打开仿真开关,将表3.1.3要求,分别按动“A”和“B”,观察结果,并把结果与将表3.1.2进行比较。

图3.1.5

3.三态门电路功能测试

图3.1.6

(1) 从电子仿真软件Multisim 10基本界面左侧左列真实元件工具条的“TTL”按钮中调出非门74LS04N和三态门74LS125N;从“指示器”按钮中调出指示器、仪器仪表库中调出信号发生器。将它们大建成如图3.1.6所示电路。

(2) A端输入调解为1Hz的脉冲信号,然后打开仿真开关,按照表3.1.4进行仿真实验。输出指示灯观察输出端F1、F2的值并它们填入表3.1.4中。将F1与F2用导线连接,实现一根信号线分时传送多组数据的总路线结构,用实验加以验证。

四、预习要求

1.复习门电路的工作原理和逻辑代数运算。

2.熟悉门电路的管脚排列。

3.复习数字万用电表的使用方法。

五、实验报告要求

1.根据测量结果,说明7486N或74LS125N 门电路的逻辑功能。

2. 根据要求填写仿真实验报告。

3.说明不同功能的门电路闲置端的处理办法,如:与非门,或非门,与或非门,异或门等。

4. 根据图3-4和3-5的测量结果进行比较,并说明共同点和不同点。

实验二组合逻辑电路的设计与测试

一、实验目的

1. 学会用仿真软件Multisim 10进行半加器和全加器仿真实验。

2. 学会用逻辑分析仪观察全加器波形。

3. 分析二进制数的运算规律。

4. 掌握组合电路的分析和设计方法。

5. 验证全加器的逻辑功能。

二、实验设备及元器件

1. PC人计算机及仿真软件Multisim 10。

2. 虚拟元件:与非门7400N、异或门7486N。

3. 虚拟仪器:万用表XMM1、指示灯、电源等。

三、计算机仿真实验内容

1. 测试用异或门、与门组成的半加器的逻辑功能

(1)按照图2-1所示,从电子仿真软件Multisim 10基本界面左侧左列真实元件工具条中调出所需元件:其中,异或门74LS86N、74LS08从“TTL”库中调出;指示灯从电子仿真软件Multisim 10基本界面左侧右列虚拟元件库中调出,X1选红灯;X2选蓝灯。

图3.2.1

(2)打开仿真开关,根据表3.2.1改变输入数据进行试验,并将结果填入表内。

2.

(1)从电子仿真软件Multisim 10基本界面左侧左列真实元件工具条“TTL”库中调出异或门74LS86D,与门74LS08N和或门74LS32组成仿真电路如图3.2.2所示。

图3.2.2

(2)打开仿真开关,按照表3.2.2输入情况进行仿真实验,并将结果填入表内。

表3.2.2

3.用逻辑分析仪观察全加器波形(no picture)

(1)先关闭仿真开关,在图3.2.2中删除集成门电路以外的其他元件。在右侧虚拟仪器库中的“字发生器”(Word Generator)按钮,调出字信号发生器图标“XWG1”,再点击虚拟仪器库中的“逻辑分析仪”(Logic Analyzer)按钮,调出逻辑分析仪图标“XLA1”,将它门连接成3.2.3所示的电路。

(2)双击字信号发生器图标“XWG1”,将打开它的放大面板如图3.2.4所示。

它是一台能产生32位(路)同步逻辑信号的仪表。按下放大面板的“控制”(Controls)栏的“循环”(Cycle)按钮,表示字信号发生器在设置好的初始值和终止

图3.2.3

值之间周而复始地输出信号;选择“显示”(Display)栏下的“Hex”表示信号以十六进制显示;“触发”(Trigger)栏用于选择触发器的方式;“频率”(Frequency)栏用于设置信号的频率,将它设置为1kz。

图3.2.4

(3)按下“控制”(Controls)栏的“设置”(Set…)按钮,将弹出对话框如图3.2.5所示。选择“显示类型”(Display Type)栏下的16进制“Hex”,再在设置缓冲区大小“Buffer Size”输入“000B”即十六进制的“11”,然后点击对话框右上角“接受”(Accept)按钮回到放大面板。

图3.2.5

(4)点击放大面板右边8位字信号编辑区进行逐行编辑,从上至下载栏中输入十六进制的00000000~0000000A共11条8位字信号,编辑好的11条8位字信号如图3.2.4所示,最后关闭放大面板。

(5)打开仿真开关,双击逻辑分析仪图标“XLA1”,将出现逻辑分析仪图标“XLA1”,将出现逻辑分析仪放大面板如图3.2.6所示。将面板上“时钟”(Clock)框下“时钟s/Div”栏输入1,再点击面板左下角“相反”(Reverse)按钮使屏幕变白,稍等片刻,然后关闭仿真开关。将逻辑分析仪面板屏幕下方的滚动条拉到不同的位置,见图所示。

图3.2.6

(6)拉出屏幕上的读数指针可以观察到一个全加器各输入、输出端波形,在图3.2.6中读数指针所在位置分别标示输入/输出信号为A=0、B=1、Ci-1=0、S=1、C i=0和A=1、B=1、C i-1=1、S=1、C i=1;。(注:屏幕左侧标有“8”的波形标示A;标有“9”的波形标示B;标有“4”的波形标示C i-1;标有“1”的波形标示S;标有“6”的波形标示C i。)

(7)按表11.3要求,用读数指针读出4个观察点的状态,并将它们的逻辑状态和逻辑分析

波形填入表11.3中。

四、预习要求

1.复习半加器和全加器分析方法和设计方法。

2.复习逻辑分析仪和数字信号发生器的使用方法。

五、实验报告要求

1. 完成仿真实验全部过程并实验结果记录在表3.

2.2和

3.2.3中。

2. 总结设计全加器实验的分析、步骤和体会,写出完整的设计报告。

实验三 译码器及应用

一、实验目的

1. 掌握用电子仿真软件Multisim 进行译码器的仿真实验。

2. 掌握3-8译码器74LS138的工作原理及应用。

3. 掌握七段显示译码器7447的工作原理及应用。

二、实验设备及元器件

1.PC 计算机及仿真软件Multisim 10

2.虚拟仪器:万用表、字符信号发生器。 3.虚拟元件:3线-8线译码器(反码输出)74LS138、BCD 七段显示译码/驱动器7447N 、七段显示器、指示灯、双掷开关等。

三、计算机仿真实验内容

1. 3-8线译码器74LS138实验

74LS138是用TTL 与非门组成的3-8线译码器,它有3个附加的控制端S1、2S 和3S 。挡S 1=1、2S +3S =0时,G S 输出高电平(S=1),译码器才工作,否则译码器禁止所有的输出端被封锁在高电平。(注:3-8线译码器74LS138N 中的G1(S 1)、~G2A 、~G2B(2S 、3S )为

图3.4.1(no need)

控制端)。这3个控制端也叫做“片选”输入端,利用片选的作用可以将多片连接起来以扩

展译码器的功能,A、B、C输入端Y0-Y7是输出端。74LS138N引脚排列如图3.4.1所示,真实芯片引脚排列基本相似,逻辑功能表如表3.4.1所示。

(1)在电子仿真软件Multisim 10基本界面左侧左列真实元件库中调出“74LS138D”3-8线译码器、J1-J6双掷开关、X1-X8红色指示器,电源和接地等元器件把他们搭建成如图3.4.2所示电路。

图3.4.2

(2)接通仿真开关,根据表3.4.2操作双掷开关验证74LS138D芯片的逻辑功能,将仿真结果填入表10.2中,验证3-8线译码器74LS138真值表是否与理论相符。

(3)关闭仿真开关,在电路中删除全部输入用双掷开关,输入信号换成字符发生器作为输入,搭建的电路如图3.4.3所示。

(4)字符发生器XWG1频率设置在50-100Hz之间这样指示灯的亮灭比较缓慢好观察,数据循环设置在0-9之间(选用十六进的制)。然后根据3-8线译码器74LD138工作原理和逻辑功能表自拟实验步骤。

图3.4.3

2.BCD七段显示译码器7447实验

(1)在电子仿真软件Multisim基本工作界面相应的库中调出七段显示译码器“7447N”、七段显示器“SEVEN_SEG COM”、J1~J4四个单刀双掷开关、X1~X4四盏红色指示灯,把他们搭建成如图3.4.4所示电路。图中LT为灯测试输入(低电平有效);RBI灭零输入(低电平有效);BI/RBO为灭灯输入(低电平有效)/灭零输出。这3脚均接高电平;译码输出端为OA~OG。

图3.4.4(no need)

(2)打开仿真开关,分别按动各单刀双掷开关,使输入4位二进制码“DCBA”分别为0000~1001,这时对应输入的每个二进制码,经译码器7447译码后直接推动共阳LED数码显示出十进制数0~9,同时也可以接在输入端的4盏指示灯知道输入的二进制码。

(3)将输入D、C、B、A变化使得,输出OA、OB、OC、OD、OE、OF、OG与数码管显示的数字填入在表3.4.3中。

四、预习要求

1.复习3-8译码器74LS138的工作原理及应用。2.复习七段显示译码器7447的工作原理及应用。

五、实验报告要求

1. 完成仿真实验内容的全部过程。

2. 实验波形图保存实验结构记录下来。

3. 总结实验过程并写出实验报告。

实验四数据选择器及应用

一、实验目的

1.了解数据选择器的性能及使用方法。

2.掌握用数据选择器设计全加器。

二、实验设备及元器件

1.PC计算机及仿真软件Multisim 10。

2.虚拟元件:与非门74LS153D、异或门7486N、单刀双掷开关等。

3.虚拟仪器:字符信号发生器、逻辑分析仪或测量元件中的指示灯。

三、计算机仿真实验内容

1. 数据选择器验证

(1)双 4 选 1 数据选择器74LS153D做为仿真实验器件,使用其中的 1 个选择器,74LS153的逻辑功能表3.3.1所示,表中A1 , A0 为选择控制变量; D0 ~ D3 为数据输入变量; Y 为输出函数。

表3.3.1 4选1数据选择器逻辑功能表

(2)“字符发生器”XWG1作为产生数据选择器所需的各个数据输入变量信号;“逻辑分析仪”XLA1作为显示的输入变量及输出函数信号。将数据选择器的数据输入端接“字符发生器”、选择控制端接双掷开关,数据选择器的数据输入端及输出端接逻辑分析仪。搭建之后的电路如图3.3.1所示。

(3)双击“字符发生器”图标XWG1按下“控制”栏的“设置”按钮,将弹出对话框如图3.3.2所示。选择“显示类型”栏下的16进制“Hex”,再在设置缓冲区大小“Buffer Size”输入“000F”即十六进制的“15”,然后点击对话框右上角“接受”按钮回到放大面板。

图3.3.1

图3.3.2(no need)

(4) 双击“逻辑分析仪”XLA1图标,打开逻辑分析仪放大面板。接通仿真开关观察逻辑分析仪显示结果。逻辑分析仪放大面板显示波形如图3.3.3所示。图中,1为D0 数据输入变量的波形;2为D1 数据输入变量的波形;3为D2 数据输入变量的波形;4 为D3 数据输入变量的波形;5 为Y 输出函数的波形。由图3.3.3 分析可知, A1 A0 = 00 时, Y 输出函数的波形和D 0 输入变量的波形相同,实现选择D0 作为输出。

(5)改变 A1 A0 输入, 01、10、11 时,观察 Y 输出函数的波形变化,并分析波形变化规律。

图3.3.3(no need)

2. 设计一个用数据选择器74LS153组成的一位全加器

(1)根据题意进行逻辑分析、设定输入输出变量、状态赋值。

(2)根据全加器的S和C i得逻辑表达式,将它们写成标准最小项表达式,最简与或表达式。(3)根据标准最小项表达式将全加器电路画好。

(4)根据画好的电路图在Multisim 10电子平台调出有关元器件,其中输出端指示灯从虚拟元件库中调出,用红灯显示全加和输出;用蓝灯显示向高位输出,便于观察。

(5)根据全加器真值表输入端的要求进行仿真实验,观察输出端的状态,并填入表3.3.2中。验证全加器真值表是否与理论相符。

四、预习要求

1.复习数据选择器的逻辑功能和分析方法。

2.复习双4 选1 数据选择器74LS153的应用。

五、实验报告要求

1. 完成仿真实验内容的全部过程。

2. 实验波形图和实验结构记录下来。

3. 总结实验过程并写出完整的实验报告。

实验五触发器功能测试及应用

一、实验目的

1.掌握D型、JK型触发器逻辑功能测试及功能转换。

2.掌握用示波器观察触发器输出波形。

3.了解触发器之间的转换,并检验其逻辑功能。

二、实验设备及元器件

1.PC计算机及仿真软件Multisim 10。

2.虚拟仪器:4通道示波器、脉冲信号源、红绿指示灯。

3.虚拟元件:JK触发器74LS76N、D触发器4013BD_5V、4锁存D触发器4042BD、双4输入端与非门4012BD、四-2输入或非门4001BD、六同相缓冲/变换器4010BC1、单刀双掷开关、电源和地线等。

三、计算机仿真实验内容

1. JK触发器逻辑功能测试

(1)从电子仿真软件Multisim 10基本界面左侧左列真实元件工具条“TTL”元件库中调出触发器74LS76N;从“基本”元件库中调出单刀双掷开关SPDT五个;从“指示器”库中调出“X1”为红、“X2”为绿两种颜色指示灯各一盏;从“电源”元件库中调出电源V CC和地线,将它们放置在电子平台上,搭建成如图3.5.1所示的电路。

图3.5.1

(2)打开仿真开关,按照表3.5.1要求进行试验,并将结果填入表3.5.1中。注意:要使初态Q n=0,可用CLR置低电平进行复位,复位后J4仍然回到高电平;同样要使初态Q n=1,可用PR置低电平进行置位,置位后J1仍然回到高电平。

表3.5.1

2. 异步置位PR (即D S )及异步复位CLR (即D R )功能的测试(no do )

(1)从电子仿真软件Multisim 10基本界面左侧左列真实元件工具条“TTL ”元件库中调出触发器74LS76D ;从“基本”元件库中调出单刀双掷开关SPDT 两个;从“指示器”

图3.5.2 库中调出“X1”为红、“X2”为绿两种颜色指示灯各一盏;从“电源”元件库中调出电源V CC 和地线,将它们放置在电子平台上,搭建成如图3.5.2所示的电路。

(2)打开仿真开关,按照表3.5.2分别按A 键或B 键,观察X1、X2的变化情况,并填入好表3.5.2中,(注:红灯亮标示Q=1;蓝灯亮标示Q =1)。

2. D 触发器逻辑功能测试

(1)从Multisim 10基本界面左侧左列元件工具条的“CMOS ”元件库中调出D 触发器4013BD_5V ;从“基本”元件库中调出单刀双掷开关SPDT 4只,并分别双击单刀双掷开关,将它们的“Key for Switch ”栏设成A(代表S D )、B(代表D)、C (代表CP )、D (代表R D )。

数字电子技术实验报告

专业: 班级: 学号: 姓名: 指导教师: 电气学院

实验一集成门电路逻辑功能测试 一、实验目的 1. 验证常用集成门电路的逻辑功能; 2. 熟悉各种门电路的逻辑符号; 3. 熟悉TTL集成电路的特点,使用规则和使用方法。 二、实验设备及器件 1. 数字电路实验箱 2. 万用表 3. 74LS00四2输入与非门1片74LS86四2输入异或门1片 74LS11三3输入与门1片74LS32四2输入或门1片 74LS04反相器1片 三、实验原理 集成逻辑门电路是最简单,最基本的数字集成元件,目前已有种类齐全集成门电路。TTL集成电路由于工作速度高,输出幅度大,种类多,不宜损坏等特点而得到广泛使用,特别对学生进行实验论证,选用TTL电路较合适,因此这里使用了74LS系列的TTL成路,它的电源电压为5V+10%,逻辑高电平“1”时>2.4V,低电平“0”时<0.4V。实验使用的集成电路都采用的是双列直插式封装形式,其管脚的识别方法为:将集成块的正面(印有集成电路型号标记面)对着使用者,集成电路上的标识凹口左,左下角第一脚为1脚,按逆时针方向顺序排布其管脚。 四、实验内容 ㈠根据接线图连接,测试各门电路逻辑功能 1. 利用Multisim画出以74LS11为测试器件的与门逻辑功能仿真图如下

按表1—1要求用开关改变输入端A,B,C的状态,借助指示灯观测各相应输出端F的状态,当电平指示灯亮时记为1,灭时记为0,把测试结果填入表1—1中。 表1-1 74LS11逻辑功能表 输入状态输出状态 A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 悬空 1 1 1 悬空0 0 0 2. 利用Multisim画出以74LS32为测试器件的或门逻辑功能仿真图如下

数字电路实验报告

数字电路实验报告 姓名:张珂 班级:10级8班 学号:2010302540224

实验一:组合逻辑电路分析一.实验用集成电路引脚图 1.74LS00集成电路 2.74LS20集成电路 二、实验内容 1、组合逻辑电路分析 逻辑原理图如下:

U1A 74LS00N U2B 74LS00N U3C 74LS00N X1 2.5 V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V GND 图1.1组合逻辑电路分析 电路图说明:ABCD 按逻辑开关“1”表示高电平,“0”表示低电平; 逻辑指示灯:灯亮表示“1”,灯不亮表示“0”。 真值表如下: A B C D Y 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 1 表1.1 组合逻辑电路分析真值表 实验分析: 由实验逻辑电路图可知:输出X1=AB CD =AB+CD ,同样,由真值表也能推出此方程,说明此逻辑电路具有与或功能。 2、密码锁问题: 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开;否则,报警信号为“1”,则接通警铃。

试分析下图中密码锁的密码ABCD 是什么? 密码锁逻辑原理图如下: U1A 74LS00N U2B 74LS00N U3C 74LS00N U4D 74LS00N U5D 74LS00N U6A 74LS00N U7A 74LS00N U8A 74LS20D GND VCC 5V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V X1 2.5 V X2 2.5 V 图 2 密码锁电路分析 实验真值表记录如下: 实验真值表 A B C D X1 X2 0 0 0 0 0 1 0 0 0 1 0 1 0 0 1 0 0 1 0 0 1 1 0 1 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 0 1 1 0 0 0 0 1 1 0 0 1 1 0 1 0 1 0 0 1 1 0 1 1 0 1 1 1 0 0 0 1 1 1 0 1 0 1 1 1 1 0 0 1 1 1 1 1 0 1 表1.2 密码锁电路分析真值表 实验分析: 由真值表(表1.2)可知:当ABCD 为1001时,灯X1亮,灯X2灭;其他情况下,灯X1灭,灯X2亮。由此可见,该密码锁的密码ABCD 为1001.因而,可以得到:X1=ABCD ,X2=1X 。

数字电路实验指导书2016

***************************************************** ***************************************************** *********************************************** 数字电路 实验指导书 广东技术师范学院天河学院电气工程系

目录 实验系统概术 (3) 一、主要技术性能 (3) 二、数字电路实验系统基本组成 (4) 三、使用方法 (12) 四、故障排除 (13) 五、基本实验部分 (14) 实验一门电路逻辑功能及测试 (14) 实验二组合逻辑电路(半加器全加器及逻辑运算) (18) 实验三译码器和数据选择器 (43) 实验四触发器(一)R-S,D,J-K (22) 实验五时序电路测试及研究 (28) 实验六集成计数器161(设计) (30) 实验七555时基电路(综合) (33) 实验八四路优先判决电路(综合) (43) 附录一DSG-5B型面板图 (45) 附录二DSG-5D3型面板图 (47) 附录三常用基本逻辑单元国际符号与非国际符号对照表 (48) 附录四半导体集成电路型号命名法 (51) 附录五集成电路引脚图 (54)

实验系统概述 本实验系统是根据目前我国“数字电子技术教学大纲”的要求,配合各理工科类大专院校学生学习有关“数字基础课程,而研发的新一代实验装置。”配上Lattice公司ispls1032E可完成对复杂逻辑电路进行设计,编译和下载,即可掌握现代数字电子系统的设计方法,跨入EDA 设计的大门。 一、主要技术性能 1、电源:采用高性能、高可靠开关型稳压电源、过载保护及自动恢复功能。 输入:AC220V±10% 输出:DC5V/2A DC±12V/0.5A 2、信号源: (1)单脉冲:有两路单脉冲电路采用消抖动的R-S电路,每按一次按钮开关产生正、负脉冲各一个。 (2)连续脉冲:10路固定频率的方波1Hz、10Hz、100Hz、1KHz、10KHz、100KHz、500KHz、1MHz、5MHz、10MHz。 (3)一路连续可调频率的时钟,输出频率从1KHz~100KHz的可调方波信号。 (4)函数信号发生器 输出波形:方波、三角波、正弦波 频率范围:分四档室2HZ~20HZ、20HZ~200HZ、200HZ~2KHZ、2KHZ~20HZ。 3、16位逻辑电平开关(K0~K15)可输出“0”、“1”电平同时带有电平指示,当开关置“1”电平时,对应的指示灯亮,开关置“0”电平时,对应的指示灯灭,开关状态一目了然。 4、16位电平指示(L0~L15)由红、绿灯各16只LED及驱动电路组成。当正逻辑“1”电平输入时LED红灯点亮,反之LED绿灯点亮。

北邮数字电路综合实验报告

数字电路综合实验报告 简易智能密码锁 一、实验课题及任务要求 设计并实现一个数字密码锁,密码锁有四位数字密码和一个确认开锁按键,密码输入正确,密码锁打开,密码输入错误进行警示。 基本要求: 1、密码设置:通过键盘进行4 位数字密码设定输入,在数码管上显示所输入数字。通过密码设置确定键(BTN 键)进行锁定。 2、开锁:在闭锁状态下,可以输入密码开锁,且每输入一位密码,在数码管上显示“-”,提示已输入密码的位数。输入四位核对密码后,按“开锁”键,若密码正确则系统开锁,若密码错误系统仍然处于闭锁状态,并用蜂鸣器或led 闪烁报警。 3、在开锁状态下,可以通过密码复位键(BTN 键)来清除密码,恢复初始密码“0000”。闭锁状态下不能清除密码。 4、用点阵显示开锁和闭锁状态。 提高要求: 1、输入密码数字由右向左依次显示,即:每输入一数字显示在最右边的数码管上,同时将先前输入的所有数字向左移动一位。 2、密码锁的密码位数(4~6 位)可调。

3、自拟其它功能。 二、系统设计 2.1系统总体框图 2.2逻辑流程图

2.3MDS图 2.4分块说明 程序主要分为6个模块:键盘模块,数码管模块,点阵模块,报警模块,防抖模块,控制模块。以下进行详细介绍。 1.键盘模块 本模块主要完成是4×4键盘扫描,然后获取其键值,并对其进行编码,从而进行按键的识别,并将相应的按键值进行显示。 键盘扫描的实现过程如下:对于4×4键盘,通常连接为4行、4列,因此要识别按键,只需要知道是哪一行和哪一列即可,为了完成这一识别过程,我们的思想是,首先固定输出高电平,在读入输出的行值时,通常高电平会被低电平拉低,当当前位置为高电平“1”时,没有按键按下,否则,如果读入的4行有一位为低电平,那么对应的该行肯定有一个按键按下,这样便可以获取到按键的行值。同理,获取列值也是如此,先输出4列为高电平,然后在输出4行为低电平,再读入列值,如果其中有哪一位为低电平,那么肯定对应的那一列有按键按下。由此可确定按键位置。

《数字电路实验讲义》word版

数字电路实验讲义 课题:实验一门电路逻辑功能及测试课型:验证性实验 教学目标:熟悉门电路逻辑功能,熟悉数字电路实验箱及示波器使用方法 重点:熟悉门电路逻辑功能。 难点:用与非门组成其它门电路 教学手段、方法:演示及讲授 实验仪器: 1、示波器; 2、实验用元器件 74LS00 二输入端四与非门 2 片 74LS20 四输入端双与非门 1 片 74LS86 二输入端四异或门 1 片 74LS04 六反相器 1 片 实验内容: 1、测试门电路逻辑功能 (1)选用双四输入与非门74LS20 一只,插入面包板(注意集成电路应摆正放平),按图1.1接线,输入端接S1~S4(实验箱左下角的逻辑电平开关的输出插口),输出端接实验箱上方的LED 电平指示二极管输入插口D1~D8 中的任意一个。 (2)将逻辑电平开关按表1.1 状态转换,测出输出逻辑状态值及电压值填表。

2、逻辑电路的逻辑关系 (1)用74LS00 双输入四与非门电路,按图1.2、图1.3 接线,将输入输出逻辑关系分别填入表1.2,表1.3 中。 (2)写出两个电路的逻辑表达式。 3、利用与非门控制输出 用一片74LS00 按图1.4 接线。S 分别接高、低电平开关,用示波器观察S 对输出脉冲的控制作用。 4、用与非门组成其它门电路并测试验证。 (1)组成或非门:

用一片二输入端四与非门组成或非门B = =,画出电路图,测试并填 + Y? A B A 表1.4。 (2)组成异或门: ①将异或门表达式转化为与非门表达式; ②画出逻辑电路图; ③测试并填表1.5。 5、异或门逻辑功能测试 (1)选二输入四异或门电路74LS86,按图1.5 接线,输入端1、2、4、5 接电平开关输出插口,输出端A、B、Y 接电平显示发光二极管。 (2)将电平开关按表1.6 的状态转换,将结果填入表中。

数字电路实验

数字电路实验 实验要求: 1. 遵守实验室规则,注意人身和仪器设备的安全。 2. 预习并按规范写好预习报告,否则不能参加实验。 3. 进入实验室后保持安静,对号入座, 4. 将预习报告置于实验桌右上角,待指导教师检查。 5. 完成实验任务后,保持实验现场,报请老师验收。验收时需清楚简练地向老师介绍实验情况、证明自己已完成了实验任务。 6.实验成绩由预习报告、实验效果与实验纪律、独立动手能力、实验报告等综合决定。 实验报告内容要求 1. 实验名称、实验者姓名、实验时间地点和指导教师等。 2. 实验目的与要求。 3. 实验用仪器仪表的名称和型号。 4. 实验电路和测试电路。包括实验所用的器件品种、数目和参数。 5. 实验内容、步骤,在这部分内容中,应用简明的语言或提纲给出实验的具体内容,步骤、记录实验中的原始数据,绘制出根据观察到的波形整理出的图表、曲线,反映在实验中遇到的问题及处理的经过。如对原实验方案进行了调整,则应写出调整方案的理由和调整情况。 6. 实验结果及分析。实验结果是对实验所得的原始数据进行分析计算后得出的结论。可以用数值或曲线表达,实验结果应满足实验任务的要求。 7. 实验小结。总结实验完成的情况,对实验方案和实验结果进行讨论,对实验中遇到的问题进行分析,简单叙述实验的收获、体会等。 8. 参考资料。记录实验进行前、后阅读的有关资料,为今后查阅提供方便。

实验一TTL与非门参数测试及使用 一、实验目的 1、学习TTL和CMOS门电路的逻辑功能测试方法,加深认识TTL与CMOS门电路的 电平差异。 2、通过测试TTL与非门的电压传输特性,进一步理解门电路的重要参数及其意义(包 括U OL、U OH、U ON、U OFF、U TH、U NL、U NH)。 3、了解一般的集成门电路器件的常用封装形式和引脚排列规律,掌握使用方法。 4、熟悉数字实验箱的结构和使用方法。 二、预习要求 1、TTL与CMOS门电路的逻辑功能及闲置输入端的处置方法。 2、电压传输特性曲线及其所表征的主要参数的意义。 3、设计实验数据纪录表格 三、实验内容 1、测试TTL与非门74LS00和CM0S或非门CC4001逻辑功能。 (1)识别72LS00和CC4001的封装及引脚排列。 (2)正确连接测试电路,特别注意直流工作电压的大小和极性。 (3)测试它们的真值表,要求纪录输入高低电平(U IL、U IH)和输出高低电平(U OL、U OH)。 (4)实验TTL和CMOS门电路的输入端悬空对门电路输出的影响。 2、测试TTL与非门电压传输特性。 (1)正确连接测试电路,特别注意实心电位器的连接,连接错误易损坏电位器。 (2)注意在特性曲线的转折处应适当增加测量点。 (3)正确读取数据并纪录。 四、实验报告 1、书写格式要规范,书写认真、字迹清晰。 2、实验报告内容要齐全 3、测试的原始数据要真实,不能随意修改原始数据。 4、绘制TTL门的传输特性曲线,并根据曲线标出U ON、U OFF、U TH及U NL、U NH。 5、实验结果分析与小结 实验二组合逻辑电路设计 一、实验目的 1、学习用小规模集成电路设计组合逻辑电路的方法,进一步掌握组合逻辑电路的 分析和设计方法。 2、学习用中规模集成电路实现组合逻辑函数的方法 3、学习数字电路实验中查找电路故障的一般方法。 二、预习要求 1、组合逻辑电路分析、设计的一般方法。 2、用译码器和数据选择器实现组合逻辑函数的方法。 3、画出用译码器74LS138实现半加器的电路图。 三、实验内容 1、用与非门实现半加器。

最新数字逻辑电路指导书合集

实验一 门电路逻辑功能及测试 一.实验目的 1.熟悉门电路逻辑功能 2.熟悉数字电路学习机使用方法 二.实验仪器及材料 1.DVCC-D2JH 通用数字电路实验箱 2.器件 74LS00 二输入端四与非门 1片 74LS08 二输入端四与门 1片 74LS86 二输入端四异或门 1片 74LS32 二输入端四或门 1片 2、按附录中引脚图接线,分别验证或门74LS32、与门74LS08、异或门74LS86的逻辑功能 3、信号对门的控制作用 利用与非门控制输出.

用一片74LS00按图接线, S接任一电平开关,用发光二极管观察 S对输出脉冲的控制作用. 四.实验报告 1.按各步聚要求填表。 2.回答问题: (1)怎样判断门电路逻辑功能是否正常? (2)与非门一端输入接连续脉冲,其余端什么状态时允许脉冲通过?什么状态时禁止脉冲通过? 实验二组合逻辑电路(半加器、全加器及逻辑运算) 一、实验目的 1、掌握组合逻辑电路的功能测试 2、验证半加器和全加器的逻辑功能 二、实验器件 74LS00 二输入端四与非门1片 74LS86 二输入端四异或门1片 74LS32 二输入端四或门1片 74LS08 二输入端四与门1片 三、实验内容 1、测试用异或门(74LS86)和与非门组成的半加器的逻辑功能。 根据半加器的逻辑表达式可知,半加器Y是A、B的异或, 而进位Z是A、B相与。故半加器可用一个 集成异或门和二个与非门组成如右图 (1)在学习机上用异或门和与门接成以上电路。 A、B接电平开关Y、Z接电平显示。 (2)按下表要求改变A、B状态,填表

2、测试全加器的逻辑功能。 (1)按右图接线,A 、B 、C 接电平开关, SO 、C 接发光二极管 (2)按下表要求改变A 、B 、C 状态,填表 四、实验报告 (1)按要求填表 (2)分析如何使用适当的门电路实现半加器与全加器的功能 实验三 译码器、数据选择器和总线驱动器

数字电路实验问答题

实验一 (2)如何用万用表测量数字集成电路的好坏? 数字集成电路损坏分为两种情况,一种是彻底不能工作;另一种是工作不稳定,可靠性非常低。 用万用表主要测量其阻抗值,可以拿一只好的相同的IC比较,测试管脚到地的阻抗值;另外就是放到具体的电路中加上适当的电压测试各个管脚的电压或电平值;数字IC的范围非常广,拿一只单片机来讲,要判断其工作问题,还要用到示波器观察数据收发期间对应管脚上高低电平的变化,对于其他数字IC,可以测试并对应真值表来比较。由于IC应用不同,并没有一个归一化的方法,只有通过不断实践来完成整个电路的调试了。 (3)如何用示波器确定输入信号是直流还是交流? 答案一:示波器有交流输入和直流输入的转化按钮,如果选中直流按钮,测得的就是直流和交流的叠加信号(如果有交流信号);选中交流按钮,只能测得交流信号(不管信号是否有直流成分)。 如果用直流档和交流档测得的信号完全相同,则说明信号只有交流成分;若果直流档有信号,交流档测不到信号则说明只有直流成分没有交流成分;交直流都测得信号灯信号形状不同,则说明信号同时存在交直流成分。 答案二:先把示波器的“AC-GND-DC”置于GND位置,把参考点选在中间位置,再把“AC-GND-DC”置于DC位置,再进行测试,如果波形是在参考点中心线的上方或下方,那就是直流;如果在参考点中心线的上方和下方都有波形显示,那就是交流。特别提示:直流不一定就是直线, (4)如何用示波器测量电流信号? 使被测电流通过一个电阻(叫取样电阻),适当选取电阻值,使被测电流信号在该电阻上的压降达数十至数百毫伏,并使毫伏数,与电流值有便于运算的比例关系,之后,用示波器测量该电阻上的压降即可。 实验三 (2)与非门中多余端如何处理?

数字电路实验指导书

第一章单元实验 实验一逻辑门电路的研究 一、实验目的: 1.分析“门”的逻辑功能。 2.分析“门”的控制功能。 3.熟悉门电路的逻辑交换及其功能的测试方法。 二、实验使用仪器和器件: 1.数字逻辑电路学习机一台。 2.万用表一块。 三、实验内容和步骤: 1.TTL集成门逻辑功能的测试: ⑴“与非门”逻辑功能的测试: 在学习机上插入74LS10芯片,任选一个三输入端“与非门”按表1完成逻辑功能的测试(输入“1”态可悬空或接5V,“0”态接地)。 表1 ⑵用“与或非”门实现Z=AB+C的逻辑功能: 在学习机上插入74LS54芯片,做Z=AB+C逻辑功能的测试,完成表2的功能测试并记录。

表2 注意:测试前应将与或非门不用的与门组做适当处理。 2.“门”控制功能的测试: ⑴“与非”门控制功能的测试: 按图1接线,设A 为信号输入端,输入单脉冲,B 为控制端接控制逻辑电平“0”或“1”。输出端Z 接发光二极管(LED )进行状态显示,高电平时亮。按表3进行测试,总结“封门”“开门”的规律。 图1 “与非门”控制功能测试电路 表3 ⑵用“与非门”组成下列电路,并测试它们的功能

“或”门:Z=A+B “与”门:Z=AB “或非”门:Z=A+B “与或”门:Z=AB+CD 要求:画出电路图和测试记录表格,并完成逻辑功能的测试,总结控制功能的规律。 四、预习要求: 要求认真阅读实验指导书并完成要求自拟的实验电路和测试记录表格,本实验属于一般验证性实验,学生应对所有测试表的结果可预先填好,实验时只做验证,且可做到胸中有数,防止盲目性,增加自觉性。 五、实验报告要求: 总结“与非”、“与”、“或”、“或非”门的控制功能。 六、思考题: 1.为什么TTL与非门的输入端悬空则相当于输入逻辑“1”电平,CMOS与非门能否这样处理? 2.与或非门不用的与门组如何处理?

数字电路实验指导书

数字逻辑电路 实验指导书 师大学计算机系 2017.10 . .

数字逻辑电路实验 Digital Logic Circuits Experiments 一、实验目的要求: 数字逻辑电路实验是计算机科学与技术专业的基础实验,与数字逻辑电路理论课程同步开设(不单独设课),是理论教学的深化和补充,同时又具有较强的实践性,其目的是通过若干实验项目的学习,使学生掌握数字电子技术实验的基本方法和实验技能,培养独立分析问题和解决问题的能力。 二、实验主要容: 教学容分为基础型、综合型,设计型和研究型,教学计划分为多个层次,学生根据其专业特点和自己的能力选择实验,1~2人一组。但每个学生必须选做基础型实验,综合型实验,基础型实验的目的主要是培养学生正确使用常用电子仪器,掌握数字电路的基本测试方法。按实验课题要求,掌握设计和装接电路,科学地设计实验方法,合理地安排实验步骤的能力。掌握运用理论知识及实践经验排除故障的能力。综合型实验的目的就是培养学生初步掌握利用EDA软件的能力,并以可编程器件应用为目的,培养学生对新技术的应用能力。初步具有撰写规技术文件能力。设计型实验的目的就是培养学生综合运用已经学过的电子技术基础课程和EDA软件进行电路仿真实验的能力,并设计出一些简单的综合型系统,同时在条件许可的情况下,可开设部分研究型实验,其目的是利用先进的EDA软件进行电路仿真,结合具体的题目,采用软、硬件结合 的方式,进行复杂的数字电子系统设计。 . .

数字逻辑电路实验 实验1 门电路逻辑功能测试 实验预习 1 仔细阅读实验指导书,了解实验容和步骤。 2 复习门电路的工作原理及相应逻辑表达式。 3 熟悉所用集成电路的引线位置及各引线用途。 4 熟悉TTL门电路逻辑功能的测试。 5 了解数字逻辑综合实验装置的有关功能和使用方法。 实验目的 1 熟悉数字逻辑实验装置的有关功能和使用方法。 2 熟悉双踪示波器的有关功能和使用方法。 3 掌握门电路的逻辑功能,熟悉其外形和外引线排列。 4 学习门电路的测试方法。 实验仪器 1 综合实验装置一套 2 数字万用表一块 3 双踪示波器一台 4 器件 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 两输入端四异或门1片 74LS04 六反相器1片 实验原理说明 数字电路主要研究电路的输出与输入之间的逻辑关系,这种逻辑关系是由门电路的组合来实现的。门电路是数字电路的基本单元电路。门电路的输出有三种类型:图腾柱输出(一般TTL门电路)、集电极开路(OC门)输出和三态(3S)输出。它们的类型、逻辑式、逻辑符号与参考型号见表1-0。门电路的输入与输出量均为1和0两种逻辑状态。我们在实验中可以用乒乓开关的两种位置表示输入1和0两种状态,当输入端为高电平时,相应的输入端处于1位置,当输入端为低电平时,相应的输入端处于0位置。我们也可以用发光二极管的两种状态表示输出1和0两种状态,当输出端为高电平时,相应的发光二极管亮,当输出端为低电平时,相应的发光二极管不亮。我们还可以用数字万用表直接测量输出端的电压值,当电压值为3.6V左右时为高电平,表示1状态;当电压值为0.3V以下时为低电平,表示0状态。在实验中,我们可以通过测试门电路输入与输出的逻辑关系,分析和验证门电路的逻辑功能。我们实验中的集成电路芯片主要以TTL集成电路为主。 . .

数字电路全部实验

数字电子技术 实验报告 实验一门电路逻辑功能及测试 (1) 实验二数据选择器与应用 (4) 实验三触发器及其应用 (8) 实验四计数器及其应用 (11) 实验五数码管显示控制电路设计 (17) 实验六交通信号控制电路 (19) 实验七汽车尾灯电路设计 (25) 班级:08030801 学号:2008301787 2008301949 姓名:纪敏于潇

实验一 门电路逻辑功能及测试 一、实验目的: 1.加深了解TTL 逻辑门电路的参数意义。 2.掌握各种TTL 门电路的逻辑功能。 3.掌握验证逻辑门电路功能的方法。 4.掌握空闲输入端的处理方法。 二、实验设备: THD —4数字电路实验箱,数字双踪示波器,函数信号发射器, 74LS00二输入端四与非门,导线若干。 三、实验步骤及内容: 1.测试门电路逻辑功能。 选用双四输入与非门74LS00一只,按图接线,将输入电平按表置位,测输出电平 用与非门实现与逻辑、或逻辑和异或逻辑。用74LS00实现与逻辑。 用74LS00实现或逻辑。用74LS00实现异或逻辑。 2.按实验要求画出逻辑图,记录实验结果。 3.实验数据与结果 将74LS00二输入端输入信号分别设为信号A 、B 用74LS00实现与逻辑 1A B A B =? 逻辑电路如下:

12 3 74LS00AN 4 5 6 74LS00AN A B A 端输入TTL 门信号, B 端输入高电平,输出波形如下: A 端输入TTL 门信号, B 端输入低电平,输出波形如下: 1、 用74LS00实现或逻辑 11A B A B A B +=?=???逻辑电路如下

数字电路实验考试参考题目

数字电路实验考试参考题目 1.请采用两种方法(分别用与非门器件和数据选择器)设计一个三人表决器。 2.请采用两种方法(分别用与非门器件和数据选择器)设计一个四人表决器。 3.采用数据选择器(74LS151)设计完成下列逻辑函数: F1=A BC+A B D+B C D+AC D; F2=ABC+BCD+ACD+ABD 4.利用JK触发器设计一个异步四进制计数器(可采用74LS73),并用示波器观测电路输 入、输出波形。 5.设计一个模21的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 6.设计一个模22的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 7.设计一个模23的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 8.设计一个模24的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 9.设计一个模25的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 10.设计一个模20的计数器(可采用74LS390或74LS192等),用发光二极管观察电路的 所有有效计数状态;并用示波器观测计数器的输入输出端波形。 11.采用移位寄存器设计一个具有自启动功能的四位环形计数器,记录电路所有状态(包括 由偏离态进入有效循环的过程),并画出状态转移图。 12.设计一个具有自启动功能的、有效状态分别为1000,0100,0010,0001的四位右移环 形计数器。 13.设计一个具有自启动功能的、有效状态分别为0001,0010,0100,1000的四位左移环 形计数器。 14.设计一个具有自启动功能的、有效状态分别为1110,1101,1011,0111的四位左移环 形计数器。 15.设计一个具有自启动功能的、有效状态分别为1110,0111,1011,1101的四位右移环 形计数器。 16.设计一个具有自启动功能的、有效状态分别为1100,1001,0011,0110的四位左移环 形计数器。 17.设计一个具有自启动功能的、有效状态分别为1100,0110,0011,1001的四位右移环 形计数器。 18.采用2MHZ的晶体振荡器、与非门、电阻等器件设计一个晶体稳频多谐振荡电路,经 分频后,电路输出脉冲信号频率为1MHZ。 19.采用555定时器设计电路,要求输出一个频率为1KHZ的脉冲信号,并用示波器观测电 路输出波形。 20.采用大规模集成存储器、编程器、计数器等元件和设备,设计完成一个八路彩灯控制电 路。 (可能还有小范围调整,请大家继续关注网站通知)

哈工大数字电路实验报告实验一

数字逻辑电路与系统上机实验报告 实验一组合逻辑电路的设计与仿真 学校:哈尔滨工业大学 院系:电信学院通信工程系 班级:1205102 学号:11205102 姓名: 哈尔滨工业大学

实验一组合逻辑电路的设计与仿真 2.1 实验要求 本实验练习在Maxplus II环境下组合逻辑电路的设计与仿真,共包括5个子实验,要求如下:

2.2三人表决电路实验 2.2.1 实验目的 1. 熟悉MAXPLUS II原理图设计、波形仿真流程 2. 练习用门电路实现给定的组合逻辑函数 2.2.2 实验预习要求 1. 预习教材《第四章组合逻辑电路》 2. 了解本次实验的目的、电路设计要求 2.2.3 实验原理 设计三人表决电路,其原理为:三个人对某个提案进行表决,当多数人同意时,则提案通过,否则提案不通过。 输入:A、B、C,为’1’时表示同意,为’0’时表示不同意; 输出:F,为’0’时表示提案通过,为’1’时表示提案不通过; 波形仿真。 2.2.4 实验步骤 1. 打开MAXPLUS II, 新建一个原理图文件,命名为EXP2_ 2.gdf。 2. 按照实验要求设计电路,将电路原理图填入下表。

制输入信号A、B、C的波形(真值表中的每种输入情况均需出现)。 4. 运行仿真器得到输出信号F的波形,将完整的仿真波形图(包括全部输入输

2.3 译码器实验 2.3.1实验目的 熟悉用译码器设计组合逻辑电路,并练习将多个低位数译码器扩展为一个高位数译码器。 2.3.2实验预习要求 1. 预习教材《4-2-2 译码器》一节 2. 了解本次实验的目的、电路设计要求 2.3.3实验原理 译码器是数字电路中的一种多输入多输出的组合逻辑电路,负责将二进制码或BCD码变换成按十进制数排序的输出信息,以驱动对应装置产生合理的逻辑动作。商品的译码器品种较多,有2-4线、3-8线、4-10线及4-16线等。本实验练习对双2-4线译码器74LS139的扩展,并用其实现特定的组合逻辑。74LS139包含两个2-4线译码器,其输入输出如下: 74LS139中译码器1真值表如下: 74LS139中译码器2真值表如下:

数字电路及设计实验

常用数字仪表的使用 实验内容: 1.参考“仪器操作指南”之“DS1000操作演示”,熟悉示数字波器的使用。 2.测试示波器校正信号如下参数:(请注意该信号测试时将耦合方式设置为直流耦合。 峰峰值(Vpp),最大值(Vmax),最小值(Vmin), 幅值(Vamp),周期(Prd),频率(Freq) 顶端值(Vtop),底端值(Vbase),过冲(Overshoot), 预冲(Preshoot),平均值(Average),均方根值(Vrms),即有效值 上升时间(RiseTime),下降时间(FallTime),正脉宽(+Width), 负脉宽(-Width),正占空比(+Duty),负占空比(-Duty)等参数。 3.TTL输出高电平>2.4V,输出低电平<0.4V。在室温下,一般输出高电平是3.5V,输出低 电平是0.2V。最小输入高电平和低电平:输入高电平>=2.0V,输入低电平<=0.8V。 请采用函数信号发生器输出一个TTL信号,要求满足如下条件: ①输出高电平为3.5V,低电平为0V的一个方波信号; ②信号频率1000Hz; 在示波器上观测该信号并记录波形数据。

集成逻辑门测试(含4个实验项目) (本实验内容选作) 一、实验目的 (1)深刻理解集成逻辑门主要参数的含义和功能。 (2)熟悉TTL 与非门和CMOS 或非门主要参数的测试方法,并通过功能测试判断器件好坏。 二、实验设备与器件 本实验设备与器件分别是: 实验设备:自制数字实验平台、双踪示波器、直流稳压电源、数字频率计、数字万用表及工具; 实验器件:74LS20两片,CC4001一片,500Ω左右电阻和10k Ω左右电阻各一只。 三、实验项目 1.TTL 与非门逻辑功能测试 按表1-1的要求测74LS20逻辑功能,将测试结果填入与非门功能测试表中(测试F=1、0时,V OH 与V OL 的值)。 2.TTL 与非门直流参数的测试 测试时取电源电压V CC =5V ;注意电流表档次,所选量程应大于器件电参数规范值。 (1)导通电源电流I CCL 。测试条件:输入端均悬空,输出端空载。测试电路按图1-1(a )连接。 (2)低电平输入电流I iL 。测试条件:被测输入端通过电流表接地,其余输入端悬空,输出空载。测试电路按图1-1(b )连接。 (3)高电平输入电流I iH 。测试条件:被测输入端通过电流表接电源(电压V CC ),其余输入端均接地,输出空载。测试电路按图1-1(c )连接。 (4)电压传输特性。测试电路按图1-2连接。按表1-2所列各输入电压值逐点进行测量,各输入电压值通过调节电位器W 取得。将测试结果在表1-2中记录,并根据实测数据,做出电压传输特性曲线。然后,从曲线上读出V OH ,V OL ,V on ,V off 和V T ,并计算V NH ,V NL 等参数。 表1-1 与非门功能测试表

数字电路实验

实验2 组合逻辑电路(半加器全加器及逻辑运算) 一、实验目的 1.掌握组合逻辑电路的功能测试。 2.验证半加器和全加器的逻辑功能。 3.学会二进制数的运算规律。 二、实验仪器及材料 1.Dais或XK实验仪一台 2.万用表一台 3.器件:74LS00 三输入端四与非门3片 74LS86 三输入端四与或门1片 74LS55 四输入端双与或门1片 三、预习要求 1.预习组合逻辑电路的分析方法。 2.预习用与非门和异或门构成的半加器、全加器的工作原理。 3.学习二进制数的运算。 四、实验内容 1.组合逻辑电路功能测试。 图2-1 ⑴用2片74LS00组成图2-1所示逻辑电路。为便于接线和检查,在图中要注明芯片编号及各引脚对应的编号。 ⑵图中A、B、C接电平开关,Y1、Y2接发光管显示。 ⑶按表2-1要求,改变A、B、C的状态填表并写出Y1、Y2逻辑表达式。 ⑷将运算结果与实验比较。

2.测试用异或门(74LS86)和与非门组成的半加器的逻辑功能。 根据半加器的逻辑表达式可知,半加器Y是A、B的异或,而进位Z是A、B相与,故半加器可用一个集成异或门和二个与非门组成如图2-2。 图2-2 ⑴在实验仪上用异或门和与门接成以上电路。A、B接电平开关S,Y、Z接电平显示。 ⑵按表2-2要求改变A、B状态,填表。 3.测试全加器的逻辑功能。 ⑴写出图2-3电路的逻辑表达式。 ⑵根据逻辑表达式列真值表。 ⑶根据真值表画逻辑函数SiCi的卡诺图。 图2-3 ⑷填写表2-3各点状态。

⑸按原理图选择与非门并接线进行测试,将测试结果记入表2-4,并与上表进行比较看逻辑功能是否一致。 4.测试用异或、与或和非门组成的全加器的逻辑功能。 全加器可以用两个半加器和两个与门一个或门组成,在实验中,常用一块双异或门、一个与或门和一个非门实现。 ⑴画出用异或门、与或非门和与门实现全加器的逻辑电路图,写出逻辑表达式。 ⑵找出异或门、与或非门和与门器件,按自己画出的图接线。接线时注意与或非门中不用的与门输入端接地。 ⑶当输入端Ai、Bi、Ci-1为下列情况时,用万用表测量Si和Ci的电位并将其转为逻辑状态填入表2-5。 五、实验报告 1.整理实验数据、图表并对实验结果进行分析讨论。 2.总结组合逻辑电路的分析方法。 实验3 触发器 一、实验目的 1.熟悉并掌握R-S、D、J-K触发器的构成,工作原理和功能测试方法。 2.学会正确使用触发器集成芯片。 3.了解不同逻辑功能FF相互转换的方法。 二、实验仪器及材料 1.双踪示波器一台 2.Dais或XK实验仪一台 3.器件74LS00 二输入端四与非门1片 74LS74 双D触发器1片 74LS112 双J-K触发器1片 二、实验内容

数字电子技术实验指导书

数字电子技术实验指导书 (韶关学院自动化专业用) 自动化系 2014年1月10日 实验室:信工405

数字电子技术实验必读本实验指导书是根据本科教学大纲安排的,共计14学时。第一个实验为基础性实验,第二和第七个实验为设计性实验,其余为综合性实验。本实验采取一人一组,实验以班级为单位统一安排。 1.学生在每次实验前应认真预习,用自己的语言简要的写明实验目的、实验原理,编写预习报告,了解实验内容、仪器性能、使用方法以及注意事项等,同时画好必要的记录表格,以备实验时作原始记录。教师要检查学生的预习情况,未预习者不得进行实验。 2.学生上实验课不得迟到,对迟到者,教师可酌情停止其实验。 3.非本次实验用的仪器设备,未经老师许可不得任意动用。 4.实验时应听从教师指导。实验线路应简洁合理,线路接好后应反复检查,确认无误时才接通电源。 5.数据记录 记录实验的原始数据,实验期间当场提交。拒绝抄袭。 6.实验结束时,不要立即拆线,应先对实验记录进行仔细查阅,看看有无遗漏和错误,再提请指导教师查阅同意,然后才能拆线。 7.实验结束后,须将导线、仪器设备等整理好,恢复原位,并将原始数据填入正式表格中,经指导教师签名后,才能离开实验室。

目录实验1 TTL基本逻辑门功能测试 实验2 组合逻辑电路的设计 实验3 译码器及其应用 实验4 数码管显示电路及应用 实验5 数据选择器及其应用 实验6 同步时序逻辑电路分析 实验7 计数器及其应用

实验1 TTL基本逻辑门功能测试 一、实验目的 1、熟悉数字电路试验箱各部分电路的基本功能和使用方法 2、熟悉TTL集成逻辑门电路实验芯片的外形和引脚排列 3、掌握实验芯片门电路的逻辑功能 二、实验设备及材料 数字逻辑电路实验箱,集成芯片74LS00(四2输入与非门)、74LS04(六反相器)、74LS08(四2输入与门)、74LS10(三3输入与非门)、74LS20(二4输入与非门)和导线若干。 三、实验原理 1、数字电路基本逻辑单元的工作原理 数字电路工作过程是数字信号,而数字信号是一种在时间和数量上不连续的信号。 (1)反映事物逻辑关系的变量称为逻辑变量,通常用“0”和“1”两个基本符号表示两个对立的离散状态,反映电路上的高电平和低电平,称为二值信息。(2)数字电路中的二极管有导通和截止两种对立工作状态。三极管有饱和、截止两种对立的工作状态。它们都工作在开、关状态,分别用“1”和“0”来表示导通和断开的情况。 (3)在数字电路中,以逻辑代数作为数学工具,采用逻辑分析和设计的方法来研究电路输入状态和输出状态之间的逻辑关系,而不必关心具体的大小。 2、TTL集成与非门电路的逻辑功能的测试 TTL集成与非门是数字电路中广泛使用的一种逻辑门。实验采用二4输入与非门74LS20芯片,其内部有2个互相独立的与非门,每个与非门有4个输入端和1个输出端。74LS20芯片引脚排列和逻辑符号如图2-1所示。

东南大学数字电路实验考试——教务考试监考装置

数字电路期末考题二 一、教务处需要一考试监考装置:设定每场考试为2小时,假设一个时钟周期是10分钟,用两个数码管分别显示分钟的十位和小时的个位。当到半小时的时候,红灯亮持续10分钟后灭,提醒监考老师没来的考生不得入场,在场的考生可以交卷离开。当到1小时50分时,黄灯亮持续10分钟后灭,提醒监考老师考试时间将到,准备收卷。 要求: 1.简单写出设计过程,画出逻辑电路图(30分) 2.根据设计搭试电路(15分) 3.用单脉冲验证电路(由老师检查)(25分) 4.用双踪示波器或者逻辑分析仪观察并分别绘出输入时钟和分钟十位输出时的Q m2、Q m1、Q m0输出波形。(10分) 二、简答 几个三态门的输出端是否允许短接?有无条件限制,应注意什么问题? OC门的输出端是否允许短接,结果是什么?(20分) 页脚内容1

数字电路期末考题四(答案及评分标准) 1.简单写出设计过程,画出逻辑电路图(30分) 由题意,设时钟脉冲的周期为10分钟,则分钟部分可设计成模6计数器,整个监考装置是模12计数器,其功能见下表 页脚内容2

80001000100 90001001000 100001001100 110001010000 120001010101 130000000000逻辑电路图: 页脚内容3

评分:a、设计过程15分 b、逻辑电路图15分 2.电路接线符合基本规范,电源连接正确(15分); 3.用单脉冲验证电路(由老师检查)(25分) 4.波形记录符合规范(波形应注意相位对齐,并至少画满一个周期,方波的边沿一定要画出):波形描述正确且相位对齐8分(每个波形2分)方波边沿画出2分 CLK Qm2 Qm1 Qm0 二、简答题: 几个三态门的输出端允许短接,但有条件限制,不能同时有两个或两个以上三态门的控制端处于使能状态。(10分) OC门的输出端允许短接,但要在输出端接一个合适的上拉电阻和电源才能正常工作,结果是将各个OC门的输出相与。(10分) 页脚内容4

数字电路实验指导书选样本

实验一基本门电路 实验类型: 验证 实验类别: 专业主干课 实验学时: 3 所属课程: 数字电子技术 一、实验目的 ( 1) 熟悉常见门电路的逻辑功能; ( 2) 学会利用门电路构成简单的逻辑电路。 二、实验要求: 集成逻辑门电路是最简单、最基本的数字集成元件, 任何复杂的组合电路和时序电路都可用逻辑门经过适当的组合连接而成。本实验要求熟悉74LS00、 74LS02、 74LS86的逻辑功能, 需要查阅集成块的引角图, 并能够利用它们构成简单的组合逻辑电路, 写出设计方案。 三、实验仪器设备及材料 数字电路实验箱 1台; 74LS00、 74LS02、 74LS86各一块 四、实验方案 1、 TTL与非门逻辑功能测试 将四2输入与非门74LS00插入数字电路实验箱面板 的IC插座上, 任选其中一与非门。输入端分别输入不 同的逻辑电平( 由逻辑开关控制) , 输出端接至 LED”电平显示”输入端。观察LED亮灭, 并记录对应 的逻辑状态。按图1-1接线, 检查无误方可通电。 图1-1 表1-1 74LS00逻辑功能表

2、 TTL或非门、异或门逻辑功能测试 分别选取四2输入或非门74LS02、四2输入异或门74LS86中的任一门电路, 测试其逻辑功能, 功能表自拟。 3、若要实现Y=A′, 74LS00、 74LS02、 74LS86将如何连接, 分别画出其实验连线图, 并验证其逻辑功能。 4、用四2输入与非门74LS00实现与或门Y=AB+CD的功能。画出实验连线图, 并验证其逻辑功能。 五、考核形式 检查预习情况占30%, 操作占40%, 实验报告占30%。 六、实验报告 主要内容包括, 对实验步骤, 实验数据、实验中的特殊现象、实验操作的成败、实验的关键点等内容进行整理、解释、分析总结, 回答思考题, 提出实验结论或提出自己的看法等。 七、思考题 如何处理各种门电路的多余输入端?

相关主题
文本预览
相关文档 最新文档