当前位置:文档之家› 数电课程设计——数字频率计

数电课程设计——数字频率计

数电课程设计——数字频率计
数电课程设计——数字频率计

<<数字电子技术>>

课程设计报告

题目

专业

年级

学号

学生姓名

联系电话

指导老师

完成日期

摘要

本设计采用ne555定时器构成一个1000hz的时钟脉冲发生器,同过cd4018对时钟信号进行分频得到100hz、10hz、1hz的闸门信号,三片74ls160芯片构成一个十进制加法计数器,经过闸门信号对160芯片进行控制,可以实现对被测信号频率的计数,最后通过4511芯片对将所计的数显示到数码管上,通过74ls151对闸门信号的控制可以对1~99.9khz的三角波、方波、正弦波的频率、脉宽、周期进行测量。

关键字:ne555定时器;分频;闸门信号;周期;频率;脉宽

ABSTRACT

This design adopts the ne555 timer constitute a 1000 hz clock pulse generator, the clock signal with a cd4018 on frequency division by 100 hz, 10 hz, 1 hz gate signal, three pieces of 74 ls160 chip constitute a decimal addition counter, after the gate signal of 160 chip to control, can realize to the measured signal frequency count, the last through the 4511 chip to gauge the number to the digital tube display, through 74 ls151 to gate signal to the control of 1 ~ 99.9 KHZ triangle wave, square wave, sine wave frequency, pulse width, the cycle is measured.

Key words: NE555 timer; Frequency division; The gate signal; Cycle; Frequency; Pulse width

目录

摘要............................................................................................................................................ I ABSTRACT.............................................................................................................................. II 1. 整体电路设计. (1)

1.1 算法设计 (1)

1.2 整体方框图及原理 (2)

1.3 整体电路图 (4)

2. 各个单元电路设计 (6)

2.1 时基电路 (6)

2.2 闸门电路 (7)

2.3 控制电路 (9)

2.4 小数点显示电路 (11)

3. 调试结果与分析 (11)

3.1 时基电路的调试 (11)

3.2 显示电路的调试 (12)

3.4 控制电路的调试 (14)

3.5 整体指标测试 (20)

4. 设计总结 (20)

4.1 设计任务完成情况 (20)

4.2 问题及改进 (20)

4.3 心得体会 (21)

参考文献 (23)

附件 (24)

1. 整体电路设计

1.1 算法设计

频率是周期信号每秒钟内所含的周期数值。可根据这一定义采用如图1所示的算法。图2是根据算法构建的方框图。

图1 频率测量算法示意图

图2 频率测量算法对应的方框图

在测试电路中设置一个闸门产生电路,用于产生脉冲宽度为1s的闸门信号。改闸门信号控制闸门电路的导通与开断。让被测信号送入闸门电路,当1s闸门脉冲到来时闸门导通,被测信号通过闸门并到达后面的计数电路(计数电路用以计算被测输入信号的周期数),当1s闸门结束时,闸门再次关闭,此时计数器记录的周期个数为1s内被测信号的周期个数,即为被测信号的频率。测量频率的误差与闸门信号的精度直接相关,因此,为保证在1s内被测信号的周期量误差在10 ?3量级,则要求闸门信号的精度为10 ??量级。例如,当被测信号为1kHz时,

在1s的闸门脉冲期间计数器将计数1000次,由于闸门脉冲精度为10 ??,闸门信号的误差不大于0.1s,固由此造成的计数误差不会超过1,符合5*10 ?3的误差要求。进一步分析可知,当被测信号频率增高时,在闸门脉冲精度不变的情况下,计数器误差的绝对值会增大,但是相对误差仍在5*10 ?3范围内。

但是这一算法在被测信号频率很低时便呈现出严重的缺点,例如,当被测信号为0.5Hz时其周期是2s,这时闸门脉冲仍未1s显然是不行的,故应加宽闸门脉冲宽度。假设闸门脉冲宽度加至10s,则闸门导通期间可以计数5次,由于数值5是10s的计数结果,故在显示之间必须将计数值除以10。

1.2 整体方框图及原理

图3 测量频率的原理框图

图4 测量周期的原理框图

输入电路:由于输入的信号可以是正弦波,三角波。而后面的闸门或计数电路要求被测信号为矩形波,所以需要设计一个整形电路则在测量的时候,首先通过整形电路将正弦波或者三角波转化成矩形波。在整形之前由于不清楚被测信号的强弱的情况。所以在通过整形之前通过放大衰减处理。当输入信号电压幅度较大时,通过输入衰减电路将电压幅度降低。当输入信号电压幅度较小时,前级输

入衰减为零时若不能驱动后面的整形电路,则调节输入放大的增益,时被测信号得以放大。

频率测量:测量频率的原理框图如图3.测量频率共有3个档位。被测信号经整形后变为脉冲信号(矩形波或者方波),送入闸门电路,等待时基信号的到来。时基信号有555定时器构成一个较稳定的多谐振荡器,经整形分频后,产生一个标准的时基信号,作为闸门开通的基准时间。被测信号通过闸门,作为计数器的时钟信号,计数器即开始记录时钟的个数,这样就达到了测量频率的目的。

周期测量:测量周期的原理框图4.测量周期的方法与测量频率的方法相反,即将被测信号经整形、二分频电路后转变为方波信号。方波信号中的脉冲宽度恰好为被测信号的1个周期。将方波的脉宽作为闸门导通的时间,在闸门导通的时间里,计数器记录标准时基信号通过闸门的重复周期个数。计数器累计的结果可以换算出被测信号的周期。用时间Tx来表示:Tx=NTs式中:Tx为被测信号的周期;N为计数器脉冲计数值;Ts为时基信号周期。

时基电路:时基信号由555定时器、RC组容件构成多谐振荡器,其两个暂态时间分别为

T1=0.7(Ra+Rb)C T2=0.7RbC

重复周期为T=T1+T2 。由于被测信号范围为1Hz~1MHz,如果只采用一种闸门脉冲信号,则只能是10s脉冲宽度的闸门信号,若被测信号为较高频率,计数电路的位数要很多,而且测量时间过长会给用户带来不便,所以可将频率范围设为几档:1Hz~999Hz档采用1s闸门脉宽;0.01kHz~9.99kHz档采用0.1s闸门脉宽;0.1kHz~99.9kHz档采用0.01s闸门脉宽。多谐振荡器经二级10分频电路后,可提取因档位变化所需的闸门时间1ms、0.1ms、0.01ms。闸门时间要求非常准确,它直接影响到测量精度,在要求高精度、高稳定度的场合,通常用晶体振荡器作为标准时基信号。在实验中我们采用的就是前一种方案。在电路中引进电位器来调节振荡器产生的频率。使得能够产生1kHz的信号。这对后面的测量精度起到决定性的作用。

计数显示电路:在闸门电路导通的情况下,开始计数被测信号中有多少个上升沿。在计数的时候数码管不显示数字。当计数完成后,此时要使数码管显示计数完成后的数字。

控制电路:控制电路里面要产生计数清零信号和锁存控制信号。控制电路工作波形的示意图如图5.

图5 控制电路工作波形示意图

1.3 整体电路图

RP1

图6 整体电路图

2. 各个单元电路设计

2.1 时基电路

图7 时基电路与分频电路

它由两部分组成:

如图7 所示,第一部分为555定时器组成的振荡器(即脉冲产生电路),要求其产生1000Hz的脉冲.振荡器的频率计算公式为:f=1.43/((R1+2*R2)*C),因此,我们可以计算出各个参数通过计算确定了R1取430欧姆,R3取500欧姆,电容取1uF.这样我们得到了比较稳定的脉冲。在R1和R3之间接了一个10K的电位器便于在后面调节使得555能够产生非常接近1KHz的频率。第二部分为分频电路,主要由4518组成(4518的管脚图,功能表及波形图详见附录),因为振荡器产生的是1000Hz的脉冲,也就是其周期是0.001s,而时基信号要求为0.01s、0.1s和1s。4518为双BCD加计数器,由两个相同的同步4级计数器构成,计数器级为D型触发器,具有内部可交换CP和EN线,用于在时钟上升沿或下降沿加计数,在单个运算中,EN输入保持高电平,且在CP上升沿进位,CR线为高电平时清零。计数器在脉动模式可级联,通过将Q3连接至下一计数器的EN输入端可实现级联,同时后者的CP输入保持低电平。

如图8所示,555产生的1kHz的信号经过三次分频后得到3个频率分别为100Hz、10Hz和1Hz的方波。

图8 1kHz的方波分频后波形图

2.2 闸门电路

如图9所示,通过74151数据选择器来选择所要的10分频、100分频和1000分频。74151的CBA接拨盘开关来对选频进行控制。当CBA输入001时74151输出的方波的频率是1Hz;当CBA输入010时74151输出的方波的频率是10Hz;当CBA输入011时74151输出的方波的频率是100Hz;这里我们以输出100Hz 的信号为例。分析其通过4017后出现的波形图(4017的管脚图、功能表和波形图详见附录)。4017是5位计数器,具有10个译码输出端,CP,CR,INH输入端,时钟输入端的施密特触发器具有脉冲整形功能,对输入时钟脉冲上升和下降时间无限制,INH为低电平时,计数器清零。100Hz的方波作为4017的CP端,如图9,信号通过4017后,从Q1输出的信号高电平的脉宽刚好为100Hz信号的一个周期,相当于将原信号二分频。也就是Q1的输出信号高电平持续的时间为10ms,那么这个信号可以用来导通闸门和关闭闸门。

图9 闸门电路

图10 闸门控制信号波形

2.3 控制电路

通过分析我们知道控制电路这部分是本实验的最为关键和难搞的模块。其中控制模块里面又有几个小的模块,通过控制选择所要测量的东西。比如频率,周期,脉宽。同时控制电路还要产生74160的清零信号,4511的锁存信号。

图11 控制电路、计数电路和译码显示电路电路图

控制电路。计数电路和译码显示电路详细的电路如图10所示。当74153的CBA 接001、010、011的时候电路实现的是测量被测信号频率的功能。当74153的CBA接100的时候实现的是测量被测信号周期的功能。当74153的CBA接101的时候实现的是测量被测信号脉宽的功能。图12是测试被测信号频率时的计数器CP信号波形、PT端输入波形、CLR段清零信号波形、4511锁存端波形图。其中第一个波形是被测信号的波形图、第二个是PT端输入信号的波形图、第三个是计数器的清零信号。第四个是锁存信号。PT是高电平的时候计数器开始工作。CLR为低电平的时候,计数器清零。根据图得知在计数之前对计数器进行了清零。根据4511(4511的管脚图和功能表详见附录)的功能表可以知道,当锁存信号为高电平的时候,4511不送数。如果不让4511锁存的话,那么计数器输出的信号一直往数码管里送。由于在计数,那么数码管上面一直显示数字,由于频率大,

那么会发现数字一直在闪动。那么通过锁存信号可以实现计数的时候让数码管不显示,计完数后,让数码管显示计数器计到的数字的功能。根据图可以看到,当PT到达下降沿的时候,此时4511的LE端的输入信号也刚好到达下降沿。

图12 计数器CP信号波形、PT端输入波形、CLR段清零信号波形、4511锁存端波形图图11,是测量被测信号频率是1.1KHz的频率的图。由于multsisim软件篇幅的关系。时基电路产生的信号直接用信号发生器来代替。图中电路1K的信号经过分频后选择的是100Hz的信号为基准信号。那么这个电路实现测量频率的范围是0.01KHz~9.99KHz的信号的频率。同时控制电路也实现了对被测信号的周期和

脉宽的测量。当CBA的取一定的值,电路实现一定的测量功能。

2.4 小数点显示电路

在测量频率的时候,由于分3个档位,那么在不同的档的时候,小数点也要跟着显示。比如CBA接011测量频率的时候,它所测信号频率的范围是0.1KHz~99.9KHz,那么在显示的时候三个数码管的第二个数码管的小数点要显示。CBA接010测量频率的时候,它所测信号频率的范围是0.01KHz~9.99KHz,那么显示的时候,最高位的数码管的小数点也要显示。对比一下两个输入的高低电平可以发现CA位不一样,显示的小数点就不一样。我们可以想到可以通过74153数据选择器来实现小数点显示的问题。具体的实现方法见图13所示。

图13 小数点显示电路

3. 调试结果与分析

3.1 时基电路的调试

首先调测时基信号,通过555定时器、RC阻容件构成多谐振荡器的两个暂态时间公式,选择R1=8.2K?,R2=5.1K?,C=0.01μF。把555产生的信号接到示波器中,调节电位器使得输出的信号的频率为1KHz。同时输出信号的频率也要

稳定。测完后,下面测试分频后的频率,分别接一级分频、二级分频、三级分频的输出端,测试其信号。测出来的信号频率和理论值很接近。由于是将示波器的测量端分别测量每个原件的输出端。下面我在实验中把74151和拨盘开关接好,通过拨盘开关来控制74151的输出信号,把示波器的测量端接74151的输出端。在CBA取三个不同的高低电平时,得到三个不同频率的信号。具体的波形图见图8所示。这里就不再重复了。这样,时基电路这部分就测试完毕,没有问题了。

3.2 显示电路的调试

由于在设计过程中,控制电路这部分比较难,要花时间在上面设计电路。为了节约时间,我在课程设计的过程中就先连接后面的显示电路和计数电路。首先是对数码管(数码管的管脚图和功能表详见附录)的显示进行了调测。

图14 显示电路调测连接图

如图14所示接好显示电路(这里就只给出一个数码管说明一下)。然后将4511的5端接地。然后给4511的6217端分别接高低电平,数码管就会显示对应的数字。比如6217分别接1000,那么数码管就对应显示数字8.同样,还有两个数码管也按上图接好。接好后的测试方法同上。这样,显示电路也就搞好了。

15 计数电路的调测

图15 计数电路调测连接图

计数电路按照图15所示连接好,将74160的PT端,~CLR端,~LD端都接高电平,3个74160级联,构成异步十进制计数器。同时4511的5端要接0,在调测的过程中,我忘记将其置零,导致在后面数码管一直不显示数字。接好后,给最低位的74160一个CP信号。让函数信号发生器产生一个频率适当的方波。这样,计数器就开始计数了。数码管从000~999显示。计数电路就这样搞好了。在调测的过程中,74160的~CLR端,~LD端,4511的5端都是用临时的线连接。因

为在后面这些端都是连接控制电路产生清零、锁存信号的输出端。

3.4 控制电路的调试

图16 控制电路连接图

控制电路的连接图如图16所示,其中两个74153的BA端分别接了01,4017的输入的CP的频率是100Hz,此时的功能是测量范围是0.1KHz~99.9KHz。

图17 控制电路调测波形图

由调试波形可以知道电路设计是正确的。这部分是测量频率的功能。同时控制电路还要实现测量周期和脉宽的功能,在前面已经说明的如何测量周期的算法,它的方法刚好和测量频率的相反,测频率的时候时基信号作为闸门信号,而测量周期是将被测信号作为闸门信号。

图18测量周期调测图

简易数字频率计设计

简易数字频率计设计报告 设计内容: 1、测量信号:方波、正弦波、三角波; 2、测量频率范围: 1Hz~9999Hz; 3、显示方式:4位十进制数显示; 4、时基电路由由555构成的多谐振荡器产生(当标准时间的精度要求较高时,应通过晶体振荡器分频获得); 5、当被测信号的频率超出测量范围时,报警。 设计报告书写格式: 1、选题介绍和设计系统实现的功能; 2、系统设计结构框图及原理; 3、采用芯片简介; 4、设计的完整电路以及仿真结果; 5、Protel绘制的电路原理图; 6、制作的PCB; 7、课程设计过程心得体会(负责了哪些内容、学到了什么、遇到的难题及解决方法等)。 电子课程设计过程: 系统设计→在Multisim2001下仿真→应用Protel 99SE绘制电路原理图→制作PCB →撰写设计报告

简易数字频率计课程设计报告 第一章技术指标 1.1整体功能要求 1.2系统结构要求 1.3电气指标 1.4扩展指标 1.5设计条件 第二章整体方案设计 2.1 算法设计 2.2 整体方框图及原理 第三章单元电路设计 3.1 时基电路设计 3.2闸门电路设计 3.3控制电路设计 3.4 小数点显示电路设计 3.5整体电路图 3.6整机原件清单 第四章测试与调整 4.1 时基电路的调测 4.2 显示电路的调测 4-3 计数电路的调测 4.4 控制电路的调测 4.5 整体指标测试 第五章设计小结 5.1 设计任务完成情况 5.2 问题及改进

5.3心得体会附录 参考文献

第一章技术指标 1.整体功能要求 频率计主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。其扩展功能可以测量信号的周期和脉冲宽度。 2.系统结构要求 数字频率计的整体结构要求如图所示。图中被测信号为外部信号,送入测量电路进行处理、测量,档位转换用于选择测试的项目------频率、周期或脉宽,若测量频率则进一步选择档位。 数字频率计整体方案结构方框图 3.电气指标 3.1被测信号波形:正弦波、三角波和矩形波。 3.2 测量频率范围:分三档: 1Hz~999Hz 0.01kHz~9.99kHz 0.1kHz~99.9kHz 3.3 测量周期范围:1ms~1s。 3.4 测量脉宽范围:1ms~1s。 3.5测量精度:显示3位有效数字(要求分析1Hz、1kHz和999kHz的测量误 差)。 3.6当被测信号的频率超出测量范围时,报警. 4.扩展指标 要求测量频率值时,1Hz~99.9kHz的精度均为+1。

数字电路课程设计数字时钟

数字电路课程设计 数字时钟

《数字时钟》技术报告 概要 数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒。一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。 本设计中的数字时钟采用数字电路实现对“时”、“分”、“秒”的显示和调整。经过采用各种集成数字芯片搭建电路来实现相应的功能。具体用到了555震荡器,74LS90及与非,异或等门集成芯片等。该电路具有计时和校时的功能。 在对整个模块进行分析和画出总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。 实验证明该设计电路基本上能够符合设计要求! 一、系统结构。 (1)功能。 此数字钟能显示“时、分、秒”的功能,它的计时周期是24 小时,最大能显示23时59分59秒,并能对时间进行调整和校对,相对于机械式的手表其更为准确。

(2)系统框图。 系统方框图1 (3)系统组成。 1.秒发生器:由555芯片和RC组成的多谐振荡器,其555上3的输出频率由接入的电阻与电容决定。 2.校时模块:由74LS03中的4个与非门和相应的开关和电阻构成。 3.计数器:由74LS90中的与非门、JK触发器、或门构成相应芯片串接得到二十四、六十进制的计数器,再由74LS90与74LS08相

连接而得到秒、分、时的进分别进位。 4.译码器:选用BCD锁存译码器4511,接受74LS90来的信号,转换为7段的二进制数。 5.显示模块:由7段数码管来起到显示作用,经过接受CD4511的信号。本次选用的是共阴型的CD4511。 二、各部分电路原理。 三、秒发生器:555电路内部(图2-1)由运放和RS触发器共同组成,其工作原理由8处接VCC,C1处当Uco=2/3Vcc>u11时运放输出为1,同理C2也一样。最终如图3接口就输出矩形波,而形成的秒脉冲。 图2-1 内部结构图

电子技术课程设计(数字频率计的设计)

一课程设计题目:数字频率计的设计 二、功能要求 (1)主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。 (2)率范围:分四1Hz~999Hz、01kHz~9.99kHz、1kHz~99.9kHz、10~999KHZ (3)周期范围:1ms~1s。 (4)用3个发光二极管表示单位,分别对应3个高档位。 三频率计设计原理框图 正弦波 数字频率计原理框图 1

测试电路原理:在测试电路中设置一个闸门产生电路,用于产生脉冲宽度为1s 的闸门信号。改闸门信号控制闸门电路的导通与开断。让被测信号送入闸门电路,当1s闸门脉冲到来时闸门导通,被测信号通过闸门并到达后面的计数电路(计数电路用以计算被测输入信号的周期数),当1s闸门结束时,闸门再次关闭,此时计数器记录的周期个数为1s内被测信号的周期个数,即为被测信号的频率。测量频率的误差与闸门信号的精度直接相关。 被测信号 频率测量算法对应的方框图 四、各部分电路及仿真 1 整形电路部分 整形电路的目的是将三角波、正弦波变成方便计数的脉冲信号。整形电路可以直接用555定时器构成施密特触发。 本次设计采用555定时器,适当连接若干个电阻就可以构成触发器 图1-1 整形电路 将555定时器的THR和TR1两个输入端连在一起作为信号输入端,则可得到 显示电路 闸门产生 输入电路闸门计数电路

施密特触发器,为了提高其稳定性通常要在要在CON端口接入一个0.01uf左右的滤波电容。但使用555定时器的时候输入的电压应该要大于5V,本次设计直接用信号源来做输入信号,并且信号源的振幅为10V,没有用放大电路将信号放大。 2 时基电路 时基电路时用来控制闸门信号选通的时间,由于本次设计的频率计测试范围是0到999KHz,故时基信号要有1ms 10ms 100ms 1s,基于上述,还需要一个分频器分出不同的频率。设计过程如下:可用一个多谐振电路产生频率为1KHz的脉冲信号(即T=1ms),然后使用分频器产生10ms 100ms 1s。 多谐振电路可以采用555定时器或者晶体振荡器来完成。本次设计采用555定时器实现,本次设计的精确度要求比较低,而且555定时器组成的多谐振荡起的最高振荡频率只能最多1MHz,而我们将用555定时器产生1Kz的频率,满足在该范围之内。分频器采用10分频,可用74LS90或者74LS160。 图2-1555定时器构成的多谐振振荡器 555多谐振振荡器设计参数:设计一个震荡周期为1ms,输出的占空比 2 3 q

数电课程设计报告-数字频率计

数电课程设计报告:频率计 目录 一、设计指标 二、系统概述 1.设计思想 2.可行性论证 3.工作过程 三、单元电路设计及分析 1.器件选择 2.设计及工作原理分析 四、电路的组构及调试 1.遇到的问题 2.现象记录及原因分析 3.解决及结果 4.功能的测试方法、步骤、设备、记录的数据 五、总结 1.体会 2.电路总图 六、参考文献 一、设计指标 设计指标:要求设计一个测量TTL方波信号频率的数字系统。测试值采用4个LED七段数码管显示,并以发光二极管只是测量对象(频率)的单位:Hz、kHz。

频率的测量范围有四档量程。 1)测量结果显示四位有效数字,测量精度为万分之一。 2)频率测量范围:100.1Hz——999.9kHz,分为: 第一档: 100.0Hz——999.9Hz 第二档: 1.000kHz——9.999kHz 第三档: 10.00kHz——99.99kHz 第四档: 100.0kHz——999.9kHz 3)量程切换可以采用两个按键SWB、SWA手动切换。 扩展要求: 一、当被测频率大于999.9kHz,超出最大值时,设置亮一个警灯,并同时发出报警声音。 二、自动切换量程 提示: 1.计数器计到9999时,产生溢出信号CO,启动量程加档。 2.显示不足4位有效数字时量程减档。 三、各量程输出信号的频率最高位有效数字为1、2、3、4、5、6、7、8、9。 二、系统概述 1.设计思想 周期性信号频率可通过记录信号在1s内的周期数来确定其频率。

累计标准时间Ts中被测信号的脉冲个数Nx,被测信号频率:fx≈Nx/Ts 测量时间Ts选择:由于测量时间Ts需要根据被测信号的频率切换,所以通常对振荡时钟进行分频以获得不同的定时时间。 采样定时、显示锁存、计数器清零的控制时序波形图 2.可行性论证 用计数器实现记录周期数的功能;用时基信号产生计数时间作为采样时间;用四位动态扫描通过数码管显示结果;因为如果计数器直接把数据输入到数码管显示,那么数码管的数据就会不断变化,累计增加的情况,所以采用锁存器,在每个时间信号内,通过一个高电平使能有效,将计数器的数值锁存到寄存器或者锁存器;为了不要让每次锁存的数据会比上次

南京邮电大学课程设计报告-简易数字频率计

目录 第一章技术指标 整体功能要求 系统结构要求 电气指标 扩展指标 设计条件 第二章整体方案设计 算法设计 整体方框图及原理 第三章单元电路设计 时基电路设计 闸门电路设计 控制电路设计 小数点显示电路设计 整体电路图 整机原件清单 第四章测试与调整 时基电路的调测 显示电路的调测 4-3 计数电路的调测 控制电路的调测 整体指标测试 第五章设计小结 设计任务完成情况 问题及改进 心得体会 第一章技术指标

1.整体功能要求 频率计主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。其扩展功能可以测量信号的周期和脉冲宽度。 2.系统结构要求 数字频率计的整体结构要求如图所示。图中被测信号为外部信号,送入测量电路进行处理、测量,档位转换用于选择测试的项目------频率、周期或脉宽,若测量频率则进一步选择档位。 3.电气指标 被测信号波形:正弦波、三角波和矩形波。 测量频率范围:分三档: 1Hz~999Hz ~ ~ 测量周期范围:1ms~1s。 测量脉宽范围:1ms~1s。 3.5测量精度:显示3位有效数字(要求分析1Hz、1kHz和999kHz的测量误 差)。 当被测信号的频率超出测量范围时,报警. 4.扩展指标 要求测量频率值时,1Hz~的精度均为+1。 5.设计条件 电源条件:+5V。 可供选择的元器件范围如下表

门电路、阻容件、发光二极管和转换开关等原件自定。 第二章 整体方案设计 算法设计 频率是周期信号每秒钟内所含的周期数值。可根据这一定义采用如图2-1所示的算法。图2-2是根据算法构建的方框图。 被测信号

电路用以计算被测输入信号的周期数),当1s闸门结束时,闸门再次关闭,此时计数器记录的周期个数为1s内被测信号的周期个数,即为被测信号的频率。测量频率的误差与闸门信号的精度直接相关,因此,为保证在1s内被测信号的周期量误差在10 3量级,则要求闸门信号的精度为10 量级。例如,当被测信号为1kHz时,在1s的闸门脉冲期间计数器将计数1000次,由于闸门脉冲精度为10 ,闸门信号的误差不大于,固由此造成的计数误差不会超过1,符合5*10 3的误差要求。进一步分析可知,当被测信号频率增高时,在闸门脉冲精度不变的情况下,计数器误差的绝对值会增大,但是相对误差仍在5*10 3范围内。 整体方框图及原理 输入电路:由于输入的信号可以是正弦波,三角波。而后面的闸门或计数电路要求被测信号为矩形波,所以需要设计一个整形电路则在测量的时候,首先通过整形电路将正弦波或者三角波转化成矩形波。在整形之前由于不清楚被测信号的强弱的情况。所以在通过整形之前通过放大衰减处理。当输入信号电压幅度较大时,通过输入衰减电路将电压幅度降低。当输入信号电压幅度较小时,前级输入衰减为零时若不能驱动后面的整形电路,则调节输入放大的增益,时被测信号得以放大。 频率测量:测量频率的原理框图如图2-3.测量频率共有3个档位。被测信号经整形后变为脉冲信号(矩形波或者方波),送入闸门电路,等待时基信号的到来。时基信号由RC振荡电路构成一个较稳定的多谐振荡器,经4093整形分频后,产生一个标准的时基信号,作为闸门开通的基准时间。被测信号通过闸门,作为计数器的时钟信号,计数器即开始记录时钟的个数,这样就达到了测量频率的目的。 周期测量:测量周期的原理框图2-4.测量周期的方法与测量频率的方法相反,即将被测信号经整形、二分频电路后转变为方波信号。方波信号中的脉冲宽度恰好为被测信号的1个周期。将方波的脉宽作为闸门导通的时间,在闸门导通的时间里,计数器记录标准时基信号通过闸门的重复周期个数。计数器累计的结果可以换算出被测信号的周期。用时间Tx来表示:Tx=NTs 式中:Tx为被测信号的周期;N为计数器脉冲计数值;Ts为时基信号周期。

课程设计报告(频率计)

设计题目:数字频率计的设计与制作 一、课程设计的主要内容与目的 1. 主要内容:数字频率计的主要功能是测量周期信号的频率,频率是单位时间内信号 发生周期变化的次数,如果我们能在给定的1S时间内对信号波形计数,并将计数结果显示出来,就能读取被测信号的频率。数字频率计首先必须获得相对稳定与准确的时间,同时将被测信号转换成幅度与波形均能被数字电路识别的脉冲信号,然后通过计数器计算这一段时间间隔内的脉冲个数,将其换算后显示出来,这就是数字频率计的基本原理。 从数字频率计的基本原理出发,根据设计要求,得到如图1所示的电路框图。 图1 2. 设计目的:(1)掌握数字频率计的工作原理 (2)根据课程设计,熟悉一般产品设计的流程和方法。 (3)重点掌握数字频率计设计的计数部分。 二、主要技术指标 1.频率测量范围:10~9999HZ。 2.输入信号波形:任意周期信号,输入电压幅度>300mv. 3.电源:220V,50HZ。 系统框图中各部分的功能及实现方法 (1)电源与整流稳压电路 框图中的电源采用50Hz的交流市电。市电被降压、整流、稳压后为整个系统提供直流电源。系统对电源的要求不高,可以采用串联式稳压电源电路来实现。 (2)全波整流与波形整形电路 本频率计采用市电频率作为标准频率,以获得稳定的基准时间。按国家标准,市电的频率漂移不能超过0.5Hz,即在1%的范围内。用它作普通频率计的基准信号完全能满足系统的要求。全波整流电路首先对50Hz交流市电进行全波整流,得到如图2(a)所示100Hz的全波整流波形。波形整形电路对100Hz信号进行整形,使之成为如图2(b)所示100Hz的矩形波。波形整形可以采用过零触发电路将全波整流波形变为矩形波,也可采用施密特触发器进行整形。

数字频率计_课程设计报告

电气与信息工程学院 数字频率计 设计报告书 前言 摘要:在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的 测量就显得更为重要。测量频率的方法有多种,其中数字计 数器测量频率具有精度高、使用方便、测量迅速,以及便于 实现测量过程自动化等优点,是频率测量的重要手段之一。 其原理为通过测量一定闸门时间内信号的脉冲个数。本文阐 述了设计了一个简单的数字频率计的过程。 关键词:频率计,闸门,逻辑控制,计数-锁存

目录 第一章设计目的 第二章设计任务和设计要求 2.1 设计任务及基本要求 2.2.系统结构要求 第三章系统概述 3.1概述 3.2设计原理及方案 第四章单元电路设计及分析 4.1 时基电路 4.2逻辑控制电路 4.3计数电路 4.4锁存电路 4.5显示译码电路 4.6 闸门电路 第五章安装与调试过程 5.1 电路的安装过程 5.2 电路的调试过程 5.3 出现的问题及解决办法 第六章结果分析 第七章收获与体会

第八章元件清单 第九章实现结果实物图 附录A 参考文献 第一章 设计目的: 1.了解数字频率计测量频率与测量周期的基本原理; 2.熟练掌握数字频率计的设计与调试方法及减小测量误 差的方法。 3.本设计与制作项目可以进一步加深我们对数字电路应 用技术方面的了解与认识,进一步熟悉数字电路系统设计、制作与调试的方法和步骤。 4.针对电子线路课程要求,对我们进行实用型电子线路设 计、安装、调试等各环节的综合性训练,培养我们运用课程中所学的理论与实践紧密结合,独立地解决实际问题的能力。

第二章 设计任务及要求: 2.1设计任务及基本要求: 设计一简易数字频率计,其基本要求是: 1)测量频率范围0~9999Hz; 2)最大读数9999HZ,闸门信号的采样时间为1s;. 3)被测信号可以是正弦波、三角波和方波; 4)显示方式为4位十进制数显示; 5)完成全部设计后,可使用EWB进行仿真,检测试验设计电路的正确性。 2.2.系统结构要求 数字频率计的整体结构要求如图所示。图中被测信号为外部信号,送入测量电路进行处理、测量。 波形 整 形 计 数 器 数 码 显 示 振荡 电 路分 频 器 控 制 门 数 据 锁 存 器 显 示 译 码 器 被测 信 号

数电课程设计报告数字钟的设计

数电课程设计报告数字钟的设计

数电课程设计报告 第一章设计背景与要求 设计要求 第二章系统概述 2.1设计思想与方案选择 2.2各功能块的组成 2.3工作原理 第三章单元电路设计与分析 3.1各单元电路的选择 3.2设计及工作原理分析 第四章电路的组构与调试 4.1遇到的主要问题 4.2现象记录及原因分析 4.3解决措施及效果 4.4功能的测试方法,步骤,记录的数据 第五章结束语 5.1对设计题目的结论性意见及进一步改进的意向说明5.2总结设计的收获与体会 附图(电路总图及各个模块详图) 参考文献

第一章设计背景与要求 一.设计背景与要求 在公共场所,例如车站、码头,准确的时间显得特别重要,否则很有可能给外出办事即旅行袋来麻烦。数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确度和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。数字钟是一种典型的数字电路,包括了组合逻辑电路和时序电路。 设计一个简易数字钟,具有整点报时和校时功能。 (1)以四位LED数码管显示时、分,时为二十四进制。 (2)时、分显示数字之间以小数点间隔,小数点以1Hz频率、50%占空比的亮、灭规律表示秒计时。 (3)整点报时采用蜂鸣器实现。每当整点前控制蜂鸣器以低频鸣响4次,响1s、停1s,直到整点前一秒以高频响1s,整点时结束。 (4)才用两个按键分别控制“校时”或“校分”。按下校时键时,是显示值以0~23循环变化;按下“校分”键时,分显示值以0~59循环变化,但时显示值不能变化。 二.设计要求 电子技术是一门实践性很强的课程,加强工程训练,特别是技能的培养,对于培养学生的素质和能力具有十分重要的作用。在电子信息类本科教学中,课程设计是一个重要的实践环节,它包括选

电子课程设计——数字频率计

2020/9/14 电子课程设计 ——数字频率计

目录 一 . 设计任务与要求 (2) 二 . 总体框图 (2) 2 . 1 题目分析及总体方案确定 (2) 三 . 选择器件 (4) 3 . 1 元件清单列表 (4) 3 . 2各元器件符号及逻辑功能 (5) 四 . 功能模块 (11) 4 . 1 整形电路 (11) 4 . 2 时基电路 (11) 4 . 3 逻辑控制电路 (12) 4 . 4 计数器、锁存器 (13) 4 . 5 译码显示电路 (15) 五 . 总体设计电路图 (15)

一 . 设计任务与要求 数字频率计是用来测量正弦信号、矩形信号、三角波等波形工作频率的仪器,其测量结果用十进制数字显示。具体要求如下: 1.测量频率范围:1Hz~10KHz; 2.数字显示位数:4位数字显示; 3.测量时间:t≤1.5s; 4.被测信号:方波、三角波、正弦波。 二 . 总体框图 2 . 1 题目分析及总体方案确定 频率的测量总的来说有三种方法:直接测量法、直接与间接测量相结合的方法和多周期同步测量法。直接测量法最简单,但测量误差最大;后两种方法测量精度高,但电路复杂。由于该题目没有对测量误差提出特别要求,为简单起见,采用直接测量法。 数字频率计就是直接用十进制的数字来显示被测信号频率。可以测的方波的频率,通过放大整形处理,它可还以测量正弦波、三角波和尖脉冲信号的频率。所谓频率就是在单位时间(1s)内周期信号的脉冲个数。若在一定时间间隔T内测得周期信号的脉冲个数N,则其频率为f=N Hz。 据此可得数字频率计的组成框图如图1—1(a)所示:

1-1(a) 图中的逻辑控制电路有两个作用:一是产生锁存脉冲,使显示器上的数字稳定;二是产生清零脉冲,使计数器每次测量从零开始计数。各信号之间的时序关系如图1-1(b)所示,图中信号由上而下依次是由放大整形电路得到的脉冲信号、时间基准信号、闸门电路输出、锁存脉冲和清零脉冲。

简易频率计课程设计

目录 1 技术要求及系统结构 (1) 1.1技术要求 (1) 1.2系统结构 (1) 2设计方案及工作原理 (2) 2.1 算法设计 (2) 2.2 工作原理 (3) 3组成电路设计及其原理 (6) 3.1时基电路设计及其工作原理 (6) 3.2闸门电路设计 (7) 3.3控制电路设计 (8) 3.4小数点控制电路 (9) 3.5整体电路 (10) 3.6 元件清单 (10) 4设计总结 (11) 参考文献 (11) 附录1 (12) 附录2 (17)

摘要 简易数字频率计是一种用四位十进制数字显示被测信号频率(1Hz—100KHz)的数字测量仪器.它的基本功能是测量正弦波,方波,三角波信号,有四个档位(×1,×10,×100,×1000),并能使用数码管显示被测信号数据,本课程设计讲述了数字频率计的工作原理以及其各个组成部分,记述了在整个设计过程中对各个部分的设计思路、对各部分电路设计方案的选择、元器件的筛选、以及在设计过程中的分析,以确保设计出的频率计成功测量被测信号。 关键词:简易数字频率计十进制信号频率数码管工作原理 1技术要求及结构 本设计可以采用中、小规模集成芯片设计制作一个具有下列功能的数字频率测量仪。 1.1技术要求 ⑴要求测量频率范围1Hz-100KHz,量程分为4档,即×1、×10、×100、×1000。 ⑵要求被测量信号可以是正弦波、三角波和方波。 ⑶要求测试结果用数码管表示出来,显示方式为4位十进制。 1.2 系统结构 数字频率计的整体结构要求如图1-1所示。图中被测信号为外部信号,送入测量电路进行处理、测量,档位转换用于选择测试的项目------频率、周期或脉宽,若测量频率则进一步选择档位。 图1-1 数字频率计系统结构框图 2 设计方案及工作原理 2.1 算法设计

数字频率计课程设计报告

《数字频率计》技术报告 一、问题的提出 在传统的电子测量仪器中,示波器在进行频率测量时测量精度较低,误差较大。频谱仪可以准确的测量频率并显示被测信号的频谱,但测量速度较慢,无法实时快速地跟踪捕捉到被测信号频率的变化。而频率计则能够快速准确的捕捉到被测信号频率的变化。 在传统的生产制造企业中,频率计被广泛的应用在生产测试中。频率计能够快速的捕捉到晶体振荡器输出频率的变化,用户通过使用频率计能够迅速的发现有故障的晶振产品,确保产品质量。在计量实验室中,频率计被用来对各种电子测量设备的本地振荡器进行校准。在无线通讯测试中,频率计既可以被用来对无线通讯基站的主时钟进行校准,还可以被用来对无线电台的跳频信号和频率调制信号进行分析。 数字频率计是一种用数字显示的频率测量仪表,它不仅可以测量正弦信号、方波信号和尖脉冲信号的频率,而且还能对其他多种物理量的变化频率进行测量,诸如机械振动次数,物体转动速度,明暗变化的闪光次数,单位时间里经过传送带的产品数量等等,这些物理量的变化情况可以由有关传感器先转变成周期变化的信号,然后用数字频率计测量单位时间内变化次数,再用数码显示出来。 二、解决技术问题及指标要求 1、技术指标

被测信号:正弦波、方波或其他连续信号; 采样时间:1秒(0.1秒、10秒); 显示时间:1秒(2秒、3秒......); LED显示; 灵敏度:100mV; 测量误差:±1H z。 数字频率计是一种专门对被测信号频率进行测量的电子测量仪器。其最基本的工作原理为:当被测信号在特定时间段T内的周期个数为N时,则被测信号的频率f=N/T。一般T=1s,所以应要求定时器尽量输出为1s的稳定脉冲。 2、设计要求 可靠性:系统准确可靠。 稳定性:灵敏度不受环境影响。 经济性:成本低。 重复性:尽量减少电路的调试点。 低功耗:功率小,持续时间长。 三、方案可行性分析(方案结构框图) 1、原理框图

数电课程设计 数字电子钟

数字电子技术课程设计 数字电子钟 指导老师: 小组成员:

目录 摘要 (3) 第一节系统概述 (4) 第二节单元电路设计与分析 (6) 第三节电路的总体设计与调试 (11) 第四节设计总结 (13) 附录部分芯片功能参数表 (14) 参考文献 (17)

摘要 数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒。一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。 本设计中的数字时钟采用数字电路实现对“时”、“分”、“秒”的显示和调整。通过采用各种集成数字芯片搭建电路来实现相应的功能。具体用到了555震荡器,74LS90及与非,异或等门集成芯片等。该电路具有计时的功能。 在对整个模块进行分析和画出总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。 实验证明该设计电路基本上能够符合设计要求! 关键词振荡器、计数器、译码显示器、Multisim

第一节系统概述 数字电子钟是由多块数字集成电路构成的,其中有振荡器,分频器,校时电路,计数器,译码器和显示器六部分组成。振荡器和分频器组成标准秒信号发生器,不同进制的计数器产生计数,译码器和显示器进行显示,通过校时电路实现对时,分的校准。 1.1实验目的 1).掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法; 2).进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力; 3).提高电路布局﹑布线及检查和排除故障的能力; 4).培养书写综合实验报告的能力。 1.2 主要内容 熟悉Multisim10.0仿真软件的应用;设计一个具有显示、校时、整点报时和定时功能的数字时钟,.能独立完成整个系统的设计;用Multisim10.0仿真实现数字时钟的功能。 1.3 系统设计思路与总体方案 数字时钟基本原理的逻辑框图如下所示:

数字频率计课程设计

课程设计任务书 学生姓名:覃朝光 ___________ 专业班级:通信1103 __________ 指导教师: ___________ 工作单位:信息工程学院 题目:数字频率计的设计与实现 初始条件: 本设il?既可以使用集成脉冲发生器、计数器、译码器、单稳态触发器、锁存器、放大器、整形 电路和必要的门电路等,也可以使用单片机系统构建简易频率计。用数码管显示频率汁数值。 要求完成的主要任务:(包括课程设讣工作量及技术要求,以及说明书撰写等具体要求)仁课程设计工作量:1周。 2、技术要求: 1)设计一个频率讣。要求用4位7段数码管显示待测频率,格式为0000Hz. 2)测量频率范围:10~9999HZo 3)测量信号类型:正弦波、方波和三角波。 4)测量信号幅值:0.5~5V° 5)设计的脉冲信号发生器,以此产生闸门信号,闸门信号宽度为1s。 6)确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设讼分电路,画出总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全 文用A4纸打印,图纸应符合绘图规范。 时间安排: 仁2013年5月17日,布宜课设具体实施计划与课程设计报告格式的要求说明。 2、2013年6月18日至2013年6月22日,方案选择和电路设计。 3、2013 年6月22日至2013 年7月1日,电路调试和设计说明书撰写。 4、2013年7月5日,上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日 word

武汉理匸大学$数字电子电路》课程设讣说明书 目录 摘要 (3) 1电路的设计思路与原理 (4) 1.1电路设计方案的选择 (4) 1.1.1方案一:利用单片机制作频率计 (4) 1.1.2方案二:利用锁存器与计数器制作频率计 (4) 1.1.3方案三:利用定时电路与计数器制作频率计 (5) 1.1.4方案确定 (6) 1.2原理及技术指标 (6) 1.3单元电路设计及参数计算 (8) 1.3.1时基电路 (8) 1.3.2放大整形电路 (9) 1.3.3逻辑控制电路 (9) 1.3.4计数器 (11) 1.3.5锁存器 (12) 1.3.6译码电路 (13) 2仿真结果及分析 (13) 2.1仿其总图 (13) 2.2单个元电路仿真图 (14) 2.3测试结果 (16) 3测试的数据和理论计算的比较分析 (16) 4制作与调试中出现的故障、原因及排除方法 (16) 4.1故障a (17) 4.2故障b (17) 4.3故障c (17) 4.4故障d (17) 4.5故障e (18) 5心得体会 (18) 2

单片机简易频率计课程设计

前言 (3) 一、总体设计 (4) 二、硬件设计 (6) AT89C51单片机及其引脚说明: (6) 显示原理 (8) 技术参数 (10) 电参数表 (10) 时序特性表 (11) 模块引脚功能表 (12) 三、软件设计 (12) 四、调试说明 (15) 五、使用说明 (17) 结论 (17) 参考文献 (18)

附录 (19) Ⅰ、系统电路图 (19) Ⅱ、程序清单 (20)

前言 单片机渗透到我们生活的各个领域,几乎很难找到哪个领域没有单片机的踪迹。导弹的导航装置,飞机上各种仪表的控制,计算机的网络通讯与数据传输,工业自动化过程的实时控制和数据处理,广泛使用的各种智能IC卡,民用豪华轿车的安全保障系统,录像机、摄像机、全自动洗衣机的控制,以及程控玩具、电子宠物等等,这些都离不开单片机。更不用说自动控制领域的机器人、智能仪表、医疗器械以及各种智能机械了。因此,单片机的学习、开发与应用在生活中至关重要。 随着电子信息产业的不断发展,信号频率的测量在科技研究和实际应用中的作用日益重要。传统的频率计通常是用很多的逻辑电路和时序电路来实现的,这种电路一般运行缓慢,而且测量频率的范围比较小.考虑到上述问题,本论文设计一个基于单片机技术的数字频率计。首先,我们把待测信号经过放大整形;然后把信号送入单片机的定时计数器里进行计数,获得频率值;最后把测得的频率数值送入显示电路里进行显示。本文从频率计的原理出发,介绍了基于单片机的数字频率计的设计方案,选择了实现系统得各种电路元器件,并对硬件电路进行了仿真。

一、总体设计 用十进制数字显示被测信号频率的一种测量装置。它以测量周期的方法对正弦波、方波、三角波的频率进行自动的测量. 所谓“频率”,就是周期性信号在单位时间(1s)内变化的次数。若在一定时间间隔T内测得这个周期性信号的重复变化次数N,则其频率可表示为f=N/T。其中脉冲形成电路的作用是将被测信号变成脉冲信号,其重复频率等于被测频率f x。时间基准信号发生器提供标准的时间脉冲信号,若其周期为1s,则门控电路的输出信号持续时间亦准确地等于1s.闸门电路由标准秒信号进行控制,当秒信号来到时,闸门开通,被测脉冲信号通过闸门送到计数译码显示电路。秒信号结束时闸门关闭,计数器停止计数。由于计数器计得的脉冲数N是在1秒时间内的累计数,所以被测频率fx=NHz。 本系统采用测量频率法,可将频率脉冲直接连接到AT89C51的T0端,将T/C1用做定时器。T/C0用做计数器。在T/C1定时的时间里,对频率脉冲进行计数。在1S定时内所计脉冲数即是该脉冲的频率。见图1: 图1测量时序图 由于T0并不与T1同步,并且有可能造成脉冲丢失,所以对计数器T0做一定的延时,以矫正误差。具体延时时间根据具体实验确定。 根据频率的定义,频率是单位时间内信号波的个数,因此采用上述各种方案

简易数字频率计课程设计

简易数字频率计课程设计 Prepared on 22 November 2020

简易频率计设计 摘要 在数字电路中,数字频率计属于时序电路,它主要由具有记忆功能的触发器构成。在计算机及各种数字仪表中,都得到了广泛的应用。在CMOS电路系列产品中,数字频率计是用量最大、品种很多的产品,是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器,并且与许多电参量的测量方案、测量结果都有十分密切的关系,在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。常用的频率测量方法有测频法、测周法、测周期/频率法、F/V与A/D法。本文阐述了用测频法构成的数字频率计 关键字:时序控制频率,数字频率计,555电路 目录

1绪论 课题描述 频率是周期信号每秒钟内所含的周期数值。输入电路:由于输入的信号可以是正弦波,方波。而后面的闸门或计数电路要求被测信号为矩形波,所以需要设计一个整形电路则在测量的时候,首先通过整形电路将正弦波或者三角波转化成矩形波。在整形之前由于不清楚被测信号的强弱的情况。所以在通过整形之前通过放大衰减处理。当输入信号电压幅度较大时,通过输入衰减电路将电压幅度降低。当输入信号电压幅度较小时,前级输入衰减为零时若不能驱动后面的整形电路,则调节输入放大的增益,时被测信号得以放大。通过时基电路及控制电路锁存器将最终频率稳定的显示在数码管上[1]。 设计任务与要求 1.频率测量范围:10~9999Hz; 2.输入电压幅度>300mV; 3.输入信号波形:任意周期信号; 4.显示位数:4 位; 5.电源: 220V 、 50Hz; 6.对所设计电路进行仿真分析。 7.编写设计报告,写出设计与制作的全过程,附上有关资料和图纸,有心得体会。 基本工作原理及框图 建议频率计电路框图如图1所示。

数电课程设计数字电子时钟样本

数字逻辑课程设计说明书 题目: 多功能数字钟 专业: 计算机科学与技术 班级: 姓名: 学号: 完成日期: -9 一、设计题目与要求

设计题目: 多功能数字钟 设计要求: 1.准确计时, 以数字形式显示时、分、秒的时间。 2.小时的计时能够为”12翻1”或”23翻0”的形式。 3.能够进行时、分、秒时间的校正。 二、设计原理及其框图 1.数字钟的构成 数字钟实际上是一个对标准频率?( 1HZ) 进行计数的计数电路。由于计数的起始时间不可能与标准时间( 如北京时间) 一致, 故需要在电路上加一个校时电路。图 1 所示为数字钟的一般构成框图。 图1 数字电子时钟方案框图

⑴多谐振荡器电路 多谐振荡器电路给数字钟提供一个频率1Hz 的信号, 可保证数字钟的走时准确及稳定。 ⑵时间计数器电路 时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成。其中秒个位和秒十位计数器、分个位和分十位计数器为60 进制计数器。而根据设计要求, 时个位和时十位计数器为24 进制计数器。 ⑶译码驱动电路 译码驱动电路将计数器输出的8421BCD 码转换为数码管需要的逻辑状态, 而且为保证数码管正常工作提供足够的工作电流。 ⑷数码管 数码管一般有发光二极管( LED) 数码管和液晶( LCD) 数码管。本设计提供的为LED数码管。 2.数字钟的工作原理 ⑴多谐振荡器电路 555 定时器与电阻R1、 R2, 电容C1、 C2 构成一个多谐振荡器, 利用电容的充放电来调节输出V0, 产生矩形脉冲波作为时钟信号, 因为是数字钟, 因此应选择的电阻电容值使频率为1HZ。 ⑵时间计数单元 六片74LS90 芯片构成计数电路, 按时间进制从右到左构成从低位向高位的进位电路, 并经过译码显示。在六位LED 七段显示起

数字频率计课程设计

课程设计任务书 学生姓名:覃朝光专业班级:通信1103 指导教师:工作单位:信息工程学院 题目: 数字频率计的设计与实现 初始条件: 本设计既可以使用集成脉冲发生器、计数器、译码器、单稳态触发器、锁存器、放大器、整形电路和必要的门电路等,也可以使用单片机系统构建简易频率计。用数码管显示频率计数值。 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)设计一个频率计。要求用4位7段数码管显示待测频率,格式为0000Hz。 2)测量频率范围:10~9999Hz。 3)测量信号类型:正弦波、方波和三角波。 4)测量信号幅值:0.5~5V。 5)设计的脉冲信号发生器,以此产生闸门信号,闸门信号宽度为1s。 6)确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、2013年5 月17日,布置课设具体实施计划与课程设计报告格式的要求说明。 2、2013 年 6 月18 日至2013 年6 月22 日,方案选择和电路设计。 3、2013 年6 月22 日至2013 年7 月1 日,电路调试和设计说明书撰写。 4、2013年7月5日,上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要 (3) 1电路的设计思路与原理 (4) 1.1电路设计方案的选择 (4) 1.1.1方案一:利用单片机制作频率计 (4) 1.1.2方案二:利用锁存器与计数器制作频率计 (5) 1.1.3方案三:利用定时电路与计数器制作频率计 (5) 1.1.4方案确定 (6) 1.2 原理及技术指标 (6) 1.3 单元电路设计及参数计算 (8) 1.3.1时基电路 (8) 1.3.2放大整形电路 (9) 1.3.3逻辑控制电路 (9) 1.3.4计数器 (11) 1.3.5锁存器 (12) 1.3.6译码电路 (13) 2仿真结果及分析 (13) 2.1仿真总图 (13) 2.2单个元电路仿真图 (14) 2.3测试结果 (17) 3测试的数据和理论计算的比较分析 (17) 4制作与调试中出现的故障、原因及排除方法 (17) 4.1故障a (17) 4.2故障b (18) 4.3故障c (18) 4.4故障d (18) 4.5故障e (18) 5 心得体会 (19)

大连理工大学数字电路课程设计报告_多功能数字时钟设计说明

理工大学本科实验报告 题目:多功能数字时钟设计 课程名称:数字电路与系统课程设计学院(系):信息与通信工程学院 专业:电子信息工程 班级: 学生: 学号: 完成日期: 2014年7月16日

2014 年 7 月 16 日 题目:多功能数字时钟设计 1 设计要求 1) 具有“时”、“分”、“秒”及“模式”的十进制数字显示功能; 2) 具有手动校时、校分功能,并能快速调节、一键复位(复位时间12时00分00秒); 3) 具有整点报时功能,从00分00秒起,亮灯十秒钟; 4) 具有秒表功能(精确至百分之一秒),具有开关键,可暂停、可一键清零; 5) 具有闹钟功能,手动设置时间,并可快速调节,具有开关键,可一键复位(复位时间12时00分00秒),闹钟时间到亮灯十秒钟进行提醒; 6) 具有倒计时功能(精确至百分之一秒),可手动设置倒计时时间,若无输入,系统默认60秒倒计时,且具有开关键,计时时间到亮灯十秒钟进行提醒,可一键复位(复位时间默认60秒)。 2 设计分析及系统方案设计 2.1 模式选择模块:按键一进行模式选择,并利用数码管显示出当前模式。模式一:时钟显示功能;模式二:时钟调节功能;模式三:闹钟功能;模式四:秒表功能;模式五:倒计时功能。 2.2 数字钟的基本功能部分:包括时、分、秒的显示,手动调时,以及整点报时部分。基本模块是由振荡器、分频器、计数器、译码器、显示器等几部分组成。利用DE2硬件中提供的50MHZ晶振,经过分频得到周期为1s的时钟脉冲。将该信号送入计数器进行计算,并把累加结果以“时”“分”“秒”的形式通过译码器由数码管显示出来。 具有复位按键1,在时钟模式下按下复位键后对时钟进行复位,复位时间12时00分00秒。 进入手动调时功能时,通过按键调节时间,每按下依次按键2,时钟时针加一,按下按键2一秒未松手,时钟时针每秒钟加十;按键1对分针进行控制,原理与时针相同并通过译码器由七位数码管显示。 从00分00秒开始,数字钟进入整点报时功能(本设计中以一个LED灯代替蜂鸣器,进行报时),亮灯10秒钟进行提示。 2.3多功能数字钟的秒表功能部分:计时围从00分00.00秒至59分59.99秒。可由复位键0异步清零,并由开关1控制计时开始与停止。 将DE2硬件中的50MHZ晶振经过分频获得周期为0.01秒的时钟脉冲,将信号送入计数器进行计算,并把累计结果通过译码器由七位数码管显示 2.4多功能数字钟的闹钟功能部分:进入闹钟功能模式后,通过按键2(设定小时)和按键1(设定分钟)设定闹钟时间,当按下按键一秒未松手时,可进行快速设定时间。当时钟进入闹钟设定的时间(判断时钟的时信号时针,分针分别与闹钟设定的时信号时针、分针是否相等),则以LED灯连续亮10秒钟进行提示,并由开关0控制闹钟的开和关。 2.5 多功能数字钟的倒计时功能部分:可通过按键3(设定分针)和按键2(设定秒针)

简易数字频率计课程设计报告

目录第一章技术指标 1.1整体功能要求 1.2系统结构要求 1.3电气指标 1.4扩展指标 1.5设计条件 第二章整体方案设计 2.1 算法设计 2.2 整体方框图及原理 第三章单元电路设计 3.1 时基电路设计 3.2闸门电路设计 3.3控制电路设计 3.4 小数点显示电路设计 3.5整体电路图 3.6整机原件清单 第四章测试与调整 4.1 时基电路的调测 4.2 显示电路的调测 4-3 计数电路的调测 4.4 控制电路的调测 4.5 整体指标测试

第五章设计小结5.1 设计任务完成情况5.2 问题及改进 5.3心得体会 附录 参考文献

第一章技术指标 1.整体功能要求 频率计主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。其扩展功能可以测量信号的周期和脉冲宽度。 2.系统结构要求 数字频率计的整体结构要求如图所示。图中被测信号为外部信号,送入测量电路进行处理、测量,档位转换用于选择测试的项目------频率、周期或脉宽,若测量频率则进一步选择档位。 数字频率计整体方案结构方框图 3.电气指标 3.1被测信号波形:正弦波、三角波和矩形波。 3.2 测量频率范围:分三档: 1Hz~999Hz 0.01kHz~9.99kHz 0.1kHz~99.9kHz 3.3 测量周期范围:1ms~1s。 3.4 测量脉宽范围:1ms~1s。 3.5测量精度:显示3位有效数字(要求分析1Hz、1kHz和999kHz的测量误 差)。 3.6当被测信号的频率超出测量范围时,报警.

4.扩展指标 要求测量频率值时,1Hz~99.9kHz的精度均为+1。 5.设计条件 5.1 电源条件:+5V。 5.2 可供选择的元器件范围如下表 门电路、阻容件、发光二极管和转换开关等原件自定。

相关主题
文本预览
相关文档 最新文档