当前位置:文档之家› ATMEL CPLD 烧写方法

ATMEL CPLD 烧写方法

ATMEL CPLD 烧写方法
ATMEL CPLD 烧写方法

ATMEL CPLD 烧写方法

随着可编程逻辑器件的飞速发展,各大公司生产的高密度可编程逻辑器件不断推陈出新。实验的EDA部分采用的是A TMEL公司的ATF15xx系列CPLD,ATF15xx系列CPLD 提供与Altera7000和3000系列器件引脚兼容的对应器件集。ATMEL CPLD开发软件可以使用ProChip Designer工具,也可以使用的ALTERA 公司的MAXPLUSⅡ软件。EDA实验采用MAXPLUSⅡ,设计好数字系统后,需要利用ATMEL提供的POF2JED.EXE 和ATMELISP.EXE 软件工具分别进行设计文件的转换和下载。其中,POF2JED.EXE 工具能轻而易举地实现MAXPLUSⅡ生成的pof文件到A TMEL CPLD 支持的jed文件的转换,ATMELISP.EXE 工具可以将jed文件通过ByteBlaseter 下载方法下载到ATMEL CPLD,两个工具软件都可以免费下载。文件的转换和下载步骤如下:

1、利用MAXPLUSⅡ软件设计数字系统,选用EPM7128SLC84-15芯片(该芯片与实验使

用的ATMEL CPLD引脚兼容),编译无错误无警告,生成*.Pof文件。

2、执行POF2JED.EXE 文件,界面如图1-1。

图1-1

在input 输入框旁点击Browse,查找要转换的*.pof 文件,界面如图1-2。

图1-2

在图1-2中,单击所需的*.pof 文件后会自动被添加在文件名框中,软件将根据Mamplus2 中工程的器件型号,寻找对应的ATMEL CPLD,并默认将转换的jed 文件放在

与pof 文件同目录下,界面如图1-3。

3、点击图1-3中的run 开始文件转换,完成界面如图1-4。

图1-4

4、打开ATMELISP.EXE文档,下载jed 文件(正确连接下载线,并给下载板

上电)。界面如图1-5。

相关主题
文本预览
相关文档 最新文档