当前位置:文档之家› 实验四译码显示电路

实验四译码显示电路

实验四译码显示电路
实验四译码显示电路

中山大学

学院:数据科学与计算机学院

实验题目:译码显示电路

一、实验目的

1. 掌握中规模集成译码器的逻辑功能和使用方法

2. 熟悉数码管的使用

二、实验仪器及器件

74LS48, 74LS194 , 74LS73,74LS00,74LS197, 74LS138, 以及各种门电路

三、实验原理

1. 数码显示译码器

BCD码七段译码驱动器-----74LS48,用来驱动共阴极 LED数码管。

2. 扫描式显示

利用数码管的余辉效应和人眼的视觉暂留效应,虽然在某一时刻只有一个数码管在显示,但人眼看到的是多个数码管“同时”被点亮的效果。由选通信号控制多路开关,先后送出(由高位到低位或由低位到高位)一位十进制的BCD码

3. 四节拍发生器

扫描显示要求数码管按先后顺序显示。这就要求如图所示的选通信号。通常该类型的信号称为节拍信号。

图中 74LS194 为移位寄存器。它具有左移、右移, Cr 反 S1 S0 工作状态

0 X X 置零 1 0 0 保持 1 0 1 右移 1 1 0 左移 1 1 1 并行送数 并行送数、保持及清除等五项功能。其引脚图如图(六) 所示。其中Cr 为清除端,CP 为时钟输入端,S 0、S 1为状 态控制端,D SR 为右移数据串行输入端,D SL 为左移数据 输入端,D 0、D 1、D 2、D 3位并行数据输入端,QA 、QB 、 QC 、QD 为数据输出端。 节拍发生器工作开始时,必须首先进行清零。当 Cr 负脉冲过后 QA 、QB 、 QC 、QD 全为零。JK 触发器Q =1,因而 S 1=S 0=1,实现并行送数。 当第一个脉冲的上升沿到达后,置入 0111,CP 下降沿到达后Q =0,即 S 1=0, S 0=1,实现右移功能。在 CP 作用下输出依次为 1011,1101,1110,第四个 CP 下降沿到达后又使 Q=1,实现第二个循环。 四、实验内容 1.使用 74LS194,74LS73,74LS48,基础逻辑门和两个四联装的共阴极数码管, 实现本人学号的显示。(本人学号为 15352316) 解题思路: 通过 74LS194作为四节拍顺序脉冲发生器,输出分别连入两块 4位数码 管的位选端,做到控制数码管从第 1位到第 4位扫描的同时在第 5位到第 8 位扫描。确定了显示位置后,要产生与节拍发生器具有相同变换速度的两个 显示内容,分别作为前 4位学号和后 4位学号的段选段输入,则两个 74LS194 需要连接到同一个信号发生器(CLOCK),或者是只用一个 74LS194来实现。 而 74LS48的输入端 DCBA 对应到共阴极数码管的每个十进制数相对应的二

进制,且 D为最高位。故,可联立 74LS194的四个输出 Q0,Q1,Q2,Q3和 D,C,B,A,可得到相应的真值表及其表达式,然后通过相应的逻辑门连接起来。

真值表

数字 Q0 Q1 Q2 Q3 D C B A

第一个四联装的共阴极数码管

1

5

3

5

0 1 1 1 0 0 0 1

1 0 1 1 0 1 0 1

1 1 0 1 0 0 1 1

1 1 1 0 0 1 0 1

第二个四联装的共阴极数码管

2 0 1 1 1 0 0 1 0

1 0 1 1 0 0 1 1

1 1 0 1 0 0 0 1

1 1 1 0 0 1 1 0

3

1

6

实验电路:

注意事项:

1)在设计显示内容时,要注意不同器件的触发电平可能不同。

2)注意对于 74LS48的输入端来说,D,C,B,A的中的 D 对应的是四位二进制数的最高位,此处很容易出错。

3)对于 74LS48来说,其对应的共阴极数码管的 6和 9与有差别,很容易出错。

实验效果图:(15352316)

2.使用 74LS197,74LS138,74LS48,基础逻辑门和一个八联装的共阴极数码管,实现本人学号的显示。

解题思路:通过 74LS197产生 BCD码计数,将 74LS197产生的 Q1,Q2,Q3 分别接到 74LS138的输入端 C,B,A,则可以产生的 8个输出如图所示

故可以利用这些输出来控制八联装共阴极数码管的显示。又可以利用这些

输出进行组合逻辑,得到 74LS48的输入端 D,C,B,A的相应输入。

真值表:

数字 Q3 Q2 Q1 D C B A

1

5

3

5

2

3

1

6

0 0 0 0 0 0 1

0 0 1 0 1 0 1

0 1 0 0 0 1 1

0 1 1 0 1 0 1

1 0 0 0 0 1 0

1 0 1 0 0 1 1

1 1 0 0 0 0 1

1 1 1 0 1 1 0

实验电路图:

实验效果图:(15352316)

注意事项:

1) 注意将 74LS197 产生的 Q1,Q2,Q3 分别接到 74LS138 的输入端 A,B,C,

其中,C在 74LS138是最高位,应该接 Q3.

2) 注意 74LS138 的 8 个输出与八联装共阴极数码管的显示控制端的接法,

即 1,2,3,4,5,6,7,8对应 74LS138的m0,m1,m2,m3,m4,

m5,m6,m7

3) 在使用逻辑门与非门的时候,注意与门与与非门之间的差距,不要在细节

的地方出错

3.使用其它设计方法,实现本人学号的显示。

解题思路:

综合上面两种实现方法,可利用门电路的逻辑组合和 74LS138一起来实现。

真值表及其表达式:

数字 Q3 Q2 Q1 D C B A

1 5 3 5

2

3 1 6 0 0 0 0 0 0 1 0 0 1 0 1 0 1 0 1 0 0 0 1 1

0 1 1 0 1 0 1

1 0 0 0 0 1 0 1 0 1 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 1 1 0

具体的实现电路图如下:

实验效果图如下:

4.使用 2*74LS197串联,产生两位十进制 00-59的计数,计数脉冲为 1HZ;设计电路,在两联装的共阴极数码管,显示出 00-59的秒钟计数。

解题思路:

要产生两位十进制的计数,则通过 74LS194

作为二节拍顺序脉冲发生器,即

我们只需要 Q0. Q1 的输出,组成 01-10 的循环

即可,则有节拍发生器的组成原理及其功能构成

可得:K的信号由 Q1的反构成。

又 01对应数码管的 1位控制端的显示,10对应

数码管的 2 位控制端的显示,故,Q0 接 1,Q1

接 2。

又对应数码管的 1 位控制端的显示,74LS48 中的

输入端有一种输入信号,对应数码管的 2位控制端

的显示,74LS48中的输入端又有一种输入信号,故

在计数的过程中,会出现两套不同的信号输入,则

此时,我们可以联想到前面用过的数据选择器

74LS153,如果将 A1、A0作为两个输入变量,同时

令 D10、D11、D12、D13为第三个输入变量的适当状

态(包括原变量、反变量、0和 1),就可以在数据

选择器的输出端产生任何形式的三变量组合逻辑

电路。

又有 ABCD四个信号输入端,则需要两个 74LS153,每个 74LS153可有两个选择输出。

又节拍发生器控制数码管的显示,74LS48控制数码管的显示内容,显示内容有 74LS153的输出决定,故 74LS153的选择功能由节拍发生器决定,则 74LS153

的 A,B端分别接节拍发生器的 Q1,Q0

可得当 01 对应数码管的 1 位控制端的显示时,74LS197 的输出 Q0,Q1,Q2,Q3 与74LS48的输入 ABCD之间的真值表如图:

当 01 对应数码管的 1 位控制端的显示时,74LS197的输出 Q0,Q1,Q2,Q3与 74LS48 的输入 ABCD之间的真值表如图:Q13 Q12 Q11 Q10 D C B A 0

1

1

1

1

0 0 0 0 0

1 0 0 0 1

0 0 0 1 0

1 0 0 1 1

0 0 1 0 0

1 0 1 0 1 Q23 Q2

2 Q21 Q20 D C B A 0

1

1

1

1

1

1

1

1

1

1

0 0 0 0 0

1 0 0 0 1

0 0 0 1 0

1 0 0 1 1

0 0 1 0 0

1 0 1 0 1

0 0 1 1 0

1 0 1 1 1

0 1 0 0 0

1 1 0 0 1

观察上面两个表格,可知, 74LS197的输出 Q0,

Q1,Q2,Q3与 74LS48的输入 A,B,C,D

是相互对应的,则有:

如图所示的电路图:

因为,74LS153 中 A,B 对应的值只有 01 和 10两种,故只需要在 1x1 和 1x2 中做选择,且 AB中,B是高位,则 1x1对应的 BA为 01,1x2对应的 BA为 10,故1x1接第 2级 74LS197对应的输出,1x2接第 1级 74LS197对应的输出。

至于两个 74LS197的级联,要注意,应该是第一级的 Q3作为第二级的输入信号,而且,计数的范围是 00-59,故第一级对应的 10进制数字范围位 0-9,第一级对

应的 10进制数字范围位 0-5,则需要利用 74LS197中 MR的内存重置的功能,可得两个 74LS197的级联的电路图如下:

实验效果图如下:

注意事项:

1)注意节拍发生器中 J的输入信号的接法,即,其是 2个节拍。

2)注意 74LS197的级联,即应该是第一级的 Q3作为第二级的输入信号,而且,计数的范围是 00-59,故第一级对应的 10 进制数字范围位 0-9,第一级对应的 10进制数字范围位 0-5,则需要利用 74LS197中 MR的内存重置的功能3)注意 74LS153中的输入控制信号 A.B,其中 B对应的是高位,

则,AB对应的的数据选择输出功能如下:

B A Y

0 0 x0

0 1 x1

1 0 x2

1 1 x3

数字电子技术实验报告

专业: 班级: 学号: 姓名: 指导教师: 电气学院

实验一集成门电路逻辑功能测试 一、实验目的 1. 验证常用集成门电路的逻辑功能; 2. 熟悉各种门电路的逻辑符号; 3. 熟悉TTL集成电路的特点,使用规则和使用方法。 二、实验设备及器件 1. 数字电路实验箱 2. 万用表 3. 74LS00四2输入与非门1片74LS86四2输入异或门1片 74LS11三3输入与门1片74LS32四2输入或门1片 74LS04反相器1片 三、实验原理 集成逻辑门电路是最简单,最基本的数字集成元件,目前已有种类齐全集成门电路。TTL集成电路由于工作速度高,输出幅度大,种类多,不宜损坏等特点而得到广泛使用,特别对学生进行实验论证,选用TTL电路较合适,因此这里使用了74LS系列的TTL成路,它的电源电压为5V+10%,逻辑高电平“1”时>2.4V,低电平“0”时<0.4V。实验使用的集成电路都采用的是双列直插式封装形式,其管脚的识别方法为:将集成块的正面(印有集成电路型号标记面)对着使用者,集成电路上的标识凹口左,左下角第一脚为1脚,按逆时针方向顺序排布其管脚。 四、实验内容 ㈠根据接线图连接,测试各门电路逻辑功能 1. 利用Multisim画出以74LS11为测试器件的与门逻辑功能仿真图如下

按表1—1要求用开关改变输入端A,B,C的状态,借助指示灯观测各相应输出端F的状态,当电平指示灯亮时记为1,灭时记为0,把测试结果填入表1—1中。 表1-1 74LS11逻辑功能表 输入状态输出状态 A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 悬空 1 1 1 悬空0 0 0 2. 利用Multisim画出以74LS32为测试器件的或门逻辑功能仿真图如下

实验五 计数、译码、显示电路

. 实验五计数、译码、显示电路 一、实验目的 掌握中规模集成计数器74LS161及七段译码器CD4511的逻辑功能,掌握共阴极七段显示器的使用方法,熟悉用示波器测试计数器输出波形的方法。 二、实验原理 计数、译码、显示电路是由计数器、译码器和显示器三部分电路组成的逻辑电路。下面分别加以介绍。 1.计数器:计数器是一种中规模集成电路,其种类有很多。如果按照触发器翻转的次序分类,可分为同步计数器和异步计数器两种;如果按照计数数字的增减可分为加法计数器、减法计数器和可逆计数器三种;如果按照计数器进位规律又可分为二进制计数器、十进制计数器、可编程N进制计数器等多种。 常用计数器均有典型产品,不须自 己设计,只要合理选用即可。 本实验选用四位二进制同步计数 器74LS161做计数器,该计数器外加适 当的反馈电路可以构成十六进制以内 的任意进制计数器。图5-1是它的逻辑 图。这个电路除了具有二进制加法计数 功能外,还具有预置数、清零、保持的 功能。图中LD是预置数控制端,D、C、 R是清零 B、A是预置数据输入端, D 端,EP、ET是计数器使能控制端,RCO 是进位信号输出端,它的主要功能有: ①异步清零功能 R=0(输出低电平),则输出QD 若 D

QCQBQA=0000,除EP、ET信号外,与其它输入信号无关,也不需要CP脉冲的配合,所以称为“异步清零”。 ②同步并行置数功能 R=1,且LD=0的条件下,当CP上升沿到来后,触发器QDQCQBQA同时接收D、在 D C、B、A输入端的并行数据。由于数据进入计数器需要CP脉冲的作用,所以称为“同步置数”,由于4个触发器同时置入,又称为“并行”。 ③保持功能 R=1,LD=1的条件下,EP、ET两个使能端只要有一个低电平,计数器将处于数在 D 据保持状态,与CP及D、C、B、A输入无关。 ④计数功能 R=1、LD=1、EP=1、ET=1的条件下,计数器对CP端输入脉冲进行计数,计数方在 D 式为二进制加法,状态变化在QDQCQBQA=0000~1111间循环。74LS161的功能表详见表5-l所示。 表5-1 74LS161的功能表 本实验所需计数器是十进制计数器,必须对74LS161外加适当的反馈电路构成十进制计数器,状态变化在QDQCQBQA=0000~1001间循环。 用反馈的方法构成十进制计数器一般有两种形式,即和反馈置数法。反馈置零法是利用R构成,即:当Q D Q C Q B Q A=1010(十进制数10)时,通过反馈线强制计数器清零,清除端 D 如图5-2(a)所示。由于该电路会出现瞬间1010状态,会引起译码电路的误动作,因此很少被采用。反馈置数法是利用预置数端LD构成,把计数器输入端D1D2D2D3全部接地,当计数

显示译码电路的设计

显示译码电路的设计 (朱开明.电子技术实训指导.清华大学出版社.2005.11 p153~165) 在数字电路设计中,很多地方都要用到数字显示,如计数器、频率计、时钟、计分牌等。显示器可用LED数码管和LCD液晶显示器。LED显示器亮度高,如果在环境亮度高的地方还可选用高亮度的LED显示器,所以,LED数码显示器是最常用的数字显示器。首先介绍译码和显示电路的设计和调试。 1.设计要求 输人8421 BCD码,显示对应的十进制数。 2.设计分析 (1)LED数码显示器 目前国内外生产的LED数码显示种类繁多,型号各异。按图形结构可分为数码管和符号管两种。如图1所示。其中“+”号管能显示出正“+”、负“一”号。“+1”符号管能显示“+1”或“一1”。“米”字管的功能最全,除能显示A~Z的26个英文字母外,还能显示+、一、×、÷几个运算符。七段显示器一般用来显示0~9。有DP的七段显示器可显示小数点。图2中a、b、c、d、e、f、g表示七个笔段,也对应七个外引脚。 图1 几种LED数码管结构图 图2 共阴和共阳数码显示器原理图和共阴外引脚 按一块显示器件所含显示数位多少,还可分为一位、二位和多位数码显示器。 (2)显示译码驱动器 显示译码并能直接驱动LED显示器的TTL电路如74LS47(OC、共阳),74LS48(共阴)等。如需计数和译码显示功能的可选取74LS143和74LS144等。74LS47是集电极开路(OC)电路,需外接上拉电阻。这里选用典型电路74LS48,其引脚功能如图3所示。电路功能如表1所示。

图3 74LS48引脚功能图 表1 74LS48电路功能 端为灯测试端,=0时,Ya~Yg全部输出高电平,可驱动共阴数码管七笔都亮。平时应使=1。 为灭零输人端,设置的目的是为了把不希望显示的零灭掉。 作输人使用时,称灭零输人控制端。只要加人灭灯控制信号=0,无论A3、A2、 A1、A0的状态是什么,都将被驱动的数码管熄灭。 作输出使用时,称灭零输出端。=0时表示A3、A2、A1、A0全为0,并且=0。用的输出信号去控制其他译码器的。 3.电路设计 (1)74LS48驱动显示译码器设计 用单独一块74LS48驱动共阴数码显示器电路如图4所示。 图4 数码显示电路

电子秒表电路实验报告1

电子技术课程设计 报告 设计题目:电子秒表 院(部):物理与电子信息学院 专业班级:电子信息工程 学生姓名: 学号: 指导教师: 摘要

秒表应用于我们生活、工作、运动等需要精确计时的方面。它由刚开始的机械式秒表发展到今天所常用的数字式秒表。秒表的计时精度越来越高,功能越来越多,构造也日益复杂。 本次数字电路课程设计的数字式秒表的要求为:显示分辨率为1s/100,外接系统时钟频率为100KHz;计时最长时间为60min,五位显示器,显示时间最长为59m59.99s;系统设置启/停键和复位键。复位键用来消零,做好计时准备、启/停键是控制秒表起停的功能键。 针对上述设计要求,先前往校图书馆借阅了大量的数字电路设计方面的书籍,以及一本电子元件方面的工具书,以待查阅各种设计中所需要的元件。其次安装并学习了数字电路设计中所常用的Multisim仿真软件,在课程设计过程的电路图设计与电路的仿真方面帮助我们发现了设计电路方面的不足与错误之处。 关键字:555定时器十进制计数器六进制计数器多谐振荡器

目录 1.选题与需求分析 (1) 1.1设计任务 (1) 1.2 设计任务 (1) 1.3设计构思 (1) 1.4设计软件 (2) 2.电子秒表电路分析 (3) 2.1总体分析 (3) 2.2电路工作总体框图 (3) 3.各部分电路设计 (4) 3.1启动与停止电路 (4) 3.2时钟脉冲发生和控制信号 (4) 3.3 设计十进制加法计数器 (6) 3.4 设计六进制加法计数器 (7) 3.5 清零电路设计 (8) 3.7 总体电路图: (10) 4 结束语与心得体会 (12)

计数、译码、显示电路综合应用

实验十二计数、译码、显示综合应用 一、实验目的 掌握中规模集成计数器74LS161及七段译码器CD4511的逻辑功能,掌握共阴极七段显示器的使用方法,熟悉用示波器测试计数器输出波形的方法。 二、实验原理 计数、译码、显示电路是由计数器、译码器和显示器三部分电路组成的逻辑电路。下面分别加以介绍。 1.计数器:计数器是一种中规模集成电路,其种类有很多。如果按照触发器翻转的次序分类,可分为同步计数器和异步计数器两种;如果按照计数数字的增减可分为加法计数器、减法计数器和可逆计数器三种;如果按照计数器进位规律又可分为二进制计数器、十进制计数器、可编程N进制计数器等多种。 常用计数器均有典型产品,不须自 己设计,只要合理选用即可。 本实验选用四位二进制同步计数 器74LS161做计数器,该计数器外加适 当的反馈电路可以构成十六进制以内 的任意进制计数器。图5-1是它的逻辑 图。这个电路除了具有二进制加法计数 功能外,还具有预置数、清零、保持的 功能。图中LD是预置数控制端,D、C、 R是清零 B、A是预置数据输入端, D 端,EP、ET是计数器使能控制端,RCO 是进位信号输出端,它的主要功能有: ①异步清零功能 R=0(输出低电平),则输出QD 若 D QCQBQA=0000,除EP、ET信号外, 与其它输入信号无关,也不需要CP脉冲的配合,所以称为“异步清零”。 ②同步并行置数功能 R=1,且LD=0的条件下,当CP上升沿到来后,触发器QDQCQBQA同时接收D、在 D

C、B、A输入端的并行数据。由于数据进入计数器需要CP脉冲的作用,所以称为“同步置数”,由于4个触发器同时置入,又称为“并行”。 ③保持功能 R=1,LD=1的条件下,EP、ET两个使能端只要有一个低电平,计数器将处于数在 D 据保持状态,与CP及D、C、B、A输入无关。 ④计数功能 R=1、LD=1、EP=1、ET=1的条件下,计数器对CP端输入脉冲进行计数,计数在 D 方式为二进制加法,状态变化在QDQCQBQA=0000~1111间循环。74LS161的功能表详见表10-l所示。 表10-1 74LS161的功能表 本实验所需计数器是十进制计数器,必须对74LS161外加适当的反馈电路构成十进制计数器,状态变化在QDQCQBQA=0000~1001间循环。 用反馈的方法构成十进制计数器一般有两种形式,即和反馈置数法。反馈置零法是利用R构成,即:当Q D Q C Q B Q A=1010(十进制数10)时,通过反馈线强制计数器清零,清除端 D 如图10-2(a)所示。由于该电路会出现瞬间1010状态,会引起译码电路的误动作,因此很少被采用。反馈置数法是利用预置数端LD构成,把计数器输入端D1D2D2D3全部接地,当计数器计到1001(十进制数9)时,利用Q D Q A反馈线使预置端LD=0,则当第十个CP到来时,计数器输出端等于输入端电平,即:Q D=Q C=Q B=Q A=0,这样可以克服反馈置零法的缺点。利用预置端LD构成的计数器电路如图10-2(b)所示。

数电实验报告实验六计数译码显示综合实验整理版.docx

数电实验报告 实验六 计数、译码、显示综合实验 姓名: 学号: 班级: 院系: 指导老师: 2016年

目录 实验目的: (22) 实验器件与仪器: (22) 实验原理: (33) 用同步清零端或置数端置零或置数构成N进制计数器 (33) 用同步清零端或置数端置零或置数构成N进制计数器 (33) 实验内容: (44) 实验过程: (55) 实验总结: (66) 实验: 实验目的: 1.熟悉中规模集成电路计数器的功能及应用。 2.熟悉中规模集成电路译码器的功能及应用。 3.熟悉LED数码管及显示电路的工作原理。 4.学会综合测试的方法。 实验器件与仪器: 1.实验箱、万用表、示波器。

2.74LS160、74LS48、74LS20 实验原理: 对于计数规模小的计数器,我们使用集成触发器来设计计数器,但是如果计数器的模数达到十六以上(如六十进制)时,如果还是用集成触发器来设计的话,电路就比较复杂了。在这种情况下,我们可以用集成计数器来构成任意进制计数器。利用集成计数器的清零端和置数端实现归零,从而构成按自然态序进行计数的N进制计数器的方法。 用同步清零端或置数端置零或置数构成N进制计数器用这种方法的实现步骤如下: 1)写出状态S N-1的二进制代码。 2)求归零逻辑,即求同步清零端或置数控制端信号的逻辑表达式 3)画连线图 用同步清零端或置数端置零或置数构成N进制计数器用这种方法的实现步骤如下: 1)写出状态S N得二进制代码 2)求归零逻辑,即求异步清零端或置数控制端信号的逻辑表达式

3)画连线图 在集成计数器中,清零、置数均采用同步方法的有74LS163;均采用异步方法的有74LS193、74LS197、74LS192;清零采用异步方法、置数采用同步方法的有74LS161、74LS160;有的只具备异步清零功能,如CC4520、74LS190、74LS191;74LS90则具有异步清零和异步置9功能。 实验内容: 1.用集成计数器74LS160分别组成8421码十进制和六进制计数器, 然后连接成一个60进制计数器(6进制为高位,10进制位低位)。 使用实验箱上的LED译码显示电路显示(注意高低位顺序及最高位的处理)。用函数发生器的低频连续脉冲(调节频率为1-2Hz)作为计数器的计数脉冲。通过数码管观察计数、译码、显示电路的功能是否正确。 2.设计一个时间计数器,具有分钟和秒计时功能的计数器。

实验三 译码显示电路

专业计算机类实验人 实验题目:译码显示电路2015年 5 月 9日 一、实验目的: 1、掌握中规模集成译码器的逻辑功能和使用方法 2、熟悉数码管的使用 二、实验仪器及器件: 1、数字电路实验箱、数字万用表、示波器。 2、器件:74LS48X1, 74LS194X1, 74LS73X1, 74LS00X2 三、实验预习: 1、复习有关译码显示原理。 2、根据实验任务,画出所需的实验线路及记录表格。 四、实验原理: 1、数码显示译码器: (1)七段发光二极管(LED)数码管 LED数码管是目前最常用的数字显示器,图(一)(a)、(b)为共阴管和共阳管的电路,(C)为两种不同出线形式的引出脚功能图。 一个LED数码管可用来显示一位0--9十进制数和一个小数点。小型数码管(0.5寸和0.36寸)每段发光二极管的正向压降,随显示光(通常为红、绿、黄、橙色)的颜色不同略有差别,通常约为2~2.5V,每个发光二极管的点亮电流在5~10mA。LED数码管要显示BCD码所表示的十进制数字就需要有一个专门的译码器,该译码器不但要完成译码功能,还要有相当的驱动能力。

专业计算机类实验人 实验题目:译码显示电路2015年 5 月 9日 (2)BCD码七段译码驱动器 此类译码器型号有74LS47(共阳),74LS48(共阴),CC4511(共阴)等,本实验系采用'74LS48 BCD码锁存/七段译码/驱动器。驱动共阴极LED数码管。 图(二)为74LS48引脚排列。其中A、B、C、D - BCD码输入端,a、b、c、 d、e、f、g——译码输出端,输出“1"有效,用来驱动共阴极LED数码管。 错误!未找到引用源。- 灯测试输入端,错误!未找到引用源。=“0”时,译码输出全为“1” 错误!未找到引用源。- 灭零输入端,错误!未找到引用源。=“0”时,不显示多余的零。 错误!未找到引用源。/错误!未找到引用源。-作为输入使用时,灭灯输入控制端; 作为输出端使用时,灭零输出端。 2、扫描式显示 对多位数字显示采用扫描式显示可以节电,这一点在某些场合很重要。对于某些系统输出的的数据,应用扫描式译码显示,可使电路大为简化。有些系统,比如计算机,某些A/D转换器,是以这样的形式输出数据的:由选通信号控制多路开关,先后送出(由高位到低位或由低位到高位)一位十进制的BCD码,如图(三)所示。图中的Ds 称为选通信号,并假定系统按先高位后低位的顺序送出数据,当Ds1高电平送出千位数,Ds2高电平送出百位数,……一般Ds的高电平相邻之间有一定的间隔,选通信号可用节拍发生器产生。 如图(四)所示,为这种系统的译码扫描显示的原理图。图中各片LED(共阴)的发光段并连接至译码器的相应端,把数据输入的相应权端与系统输出端相连,把各位选通端反相后接相应LED的公共端。f(A)使数据输入是伪码(8421BCD中的1010-1111)时使f(A)=0,伪码灭灯。接译码器的灭灯I B端,使不显示伪码。

计数、译码、显示电路实验

创作编号: BG7531400019813488897SX 创作者:别如克* 实验五计数、译码、显示电路 一、实验目的 掌握中规模集成计数器74LS161及七段译码器CD4511的逻辑功能,掌握共阴极七段显示器的使用方法,熟悉用示波器测试计数器输出波形的方法。 二、实验原理 计数、译码、显示电路是由计数器、译码器和显示器三部分电路组成的逻辑电路。下面分别加以介绍。 1.计数器:计数器是一种中规模 集成电路,其种类有很多。如果按照触 发器翻转的次序分类,可分为同步计数 器和异步计数器两种;如果按照计数数 字的增减可分为加法计数器、减法计数 器和可逆计数器三种;如果按照计数器 进位规律又可分为二进制计数器、十进 制计数器、可编程N进制计数器等多 种。 常用计数器均有典型产品,不须自

己设计,只要合理选用即可。 本实验选用四位二进制同步计数器74LS161做计数器,该计数器外加适当的反馈电路可以构成十六进制以内的任意进制计数器。图5-1是它的逻辑图。这个电路除了具有二进制加法计数功能外,还具 有预置数、清零、保持的功能。图中LD是预置数控制端,D、C、B、 R是清零端,EP、ET是计数器使能控制端,A是预置数据输入端, D RCO是进位信号输出端,它的主要功能有: ①异步清零功能 R=0(输出低电平),则输出QDQCQBQA=0000,除EP、ET 若 D 信号外,与其它输入信号无关,也不需要CP脉冲的配合,所以称为“异步清零”。 ②同步并行置数功能 R=1,且LD=0的条件下,当CP上升沿到来后,触发器QD 在 D QCQBQA同时接收D、C、B、A输入端的并行数据。由于数据进入计数器需要CP脉冲的作用,所以称为“同步置数”,由于4个触发器同时置入,又称为“并行”。 ③保持功能 R=1,LD=1的条件下,EP、ET两个使能端只要有一个低在 D 电平,计数器将处于数据保持状态,与CP及D、C、B、A输入无关。 ④计数功能 R=1、LD=1、EP=1、ET=1的条件下,计数器对CP端输入在 D 脉冲进行计数,计数方式为二进制加法,状态变化在QDQCQBQ =0000~1111间循环。74LS161的功能表详见表5-l所示。 A 表5-1 74LS161的功能表

8位数码管动态显示电路设计.

电子课程设计 — 8位数码管动态显示电路设计 学院:电子信息工程学院 专业、班级: 姓名: 学号: 指导老师: 2014年12月

目录 一、设计任务与要求 (3) 二、总体框图 (3) 三、选择器件 (3) 四、功能模块 (9) 五、总体设计电路图 (10) 六、心得体会 (12)

8位数码管动态显示电路设计 一、设计任务与要求 1. 设计个8位数码管动态显示电路,动态显示1、2、3、4、5、6、7、8。 2. 要求在某一时刻,仅有一个LED 数码管发光。 3. 该数码管发光一段时间后,下一个LED 发光,这样8只数码管循环发光。 4. 当循环扫描速度足够快时,由于视觉暂留的原因,就会感觉8只数码管是在持续发光。 5、研究循环地址码发生器的时钟频率和显示闪烁的关系。 二、总体框图 设计的总体框图如图2-1所示。 图2-1总体框图 三、选择器件 1、数码管 数码管是一种由发光二极管组成的断码型显示器件,如图1所示。 U13 DCD_HEX 图1 数码管 数码管里有八个小LED 发光二极管,通过控制不同的LED 的亮灭来显示出 不同的字形。数码管又分为共阴极和共阳极两种类型,其实共阴极就是将八个 74LS161计数器 74LS138译码 器 数码管

LED的阴极连在一起,让其接地,这样给任何一个LED的另一端高电平,它便能点亮。而共阳极就是将八个LED的阳极连在一起。 2、非门 非门又称为反相器,是实现逻辑非运算的逻辑电路。非门有输入和输出两个端,电路符号如图2所示,其输出端的圆圈代表反相的意思,当其输入端为高电平时输出端为低电平,当其输入端为低电平时输出端为高电平。也就是说,输入端和输出端的电平状态总是反相的。其真值表如表1所示。 图2 非门 表1 真值表 输入输出 A Y 0 1 1 0 3、5V电源 5V VCC电源如图3所示。 图3 5V电源

数电实验--译码显示电路

译码显示电路 二、实验仪器及器件: 1、数字电路实验箱、数字万用表、示波器。 2、器件:74LS48X1, 74LS194X1, 74LS73X1, 74LS00X2 三、实验预习: 1、复习有关译码显示原理。 2、根据实验任务,画出所需的实验线路及记录表格。 四、实验原理: 1、数码显示译码器: (1)七段发光二极管(LED)数码管 LED数码管是目前最常用的数字显示器,图(一)(a)、(b)为共阴管和共阳管的电路,(C)为两种不同出线形式的引出脚功能图。 一个LED数码管可用来显示一位0--9十进制数和一个小数点。小型数码管(0.5寸和0.36寸)每段发光二极管的正向压降,随显示光(通常为红、绿、黄、橙色)的颜色不同略有差别,通常约为2~2.5V,每个发光二极管的点亮电流在5~10mA。LED数码管要显示BCD码所表示的十进制数字就需要有一个专门的译码器,该译码器不但要完成译码功能,还要有相当的驱动能力。

(2)BCD码七段译码驱动器 此类译码器型号有74LS47(共阳),74LS48(共阴), 段译码/驱动器。驱动共阴极LED数码管。 图(二)为74LS48引脚排列。其中A、B、C、D - BCD 码输入端,a、b、c、d、e、f、g——译码输出端,输出 “1"有效,用来驱动共阴极LED数码管。 - 灯测试输入端,=“0”时,译码输出全为“1” - 灭零输入端,=“0”时,不显示多余的零。 作为输出端使用时,灭零输出端。 2、扫描式显示 对多位数字显示采用扫描式显示可以节电,这一点在某些场合很重要。对于某些系统输出

的的数据,应用扫描式译码显示,可使电路大为简化。有些系统,比如计算机,某些A/D 转换器,是以这样的形式输出数据的:由选通信号控制多路开关,先后送出(由高位到低位或由低位到高位)一位十进制的BCD码,如图(三)所示。图中的Ds称为选通信号,并假定系统按先高位后低位的顺序送出数据,当Ds1高电平送出千位数,Ds2高电平送出百位数,……一般Ds的高电平相邻之间有一定的间隔,选通信号可用节拍发生器产生。 如图(四)所示,为这种系统的译码扫描显示的原理图。图中各片LED(共阴)的发光段并连接至译码器的相应端,把数据输入的相应权端与系统输出端相连,把各位选通端反相后接相应LED的公共端。f(A)使数据输入是伪码(8421BCD中的1010-1111)时使f(A)=0,伪码灭灯。接译码器的灭灯I B端,使不显示伪码。 3、四节拍发生器 扫描显示要求数码管按先后顺序显示。这就要求如图(三)所示的选通信号。通常该类型的信号称为节拍信号。如果使用的数码管是共阴极型,则选通信号是图(三)的反相。如图(五)所示就是这种节拍信号发生器。

计数、译码、显示电路41471

计数、译码、显示电路实验报告 实验目的 1.掌握集成十进制计数器、显示译码驱动器及数码管的功能与使用方法。 2.学习译码器和共阳极七段显示器的使用方法。 3.进一步熟悉用示波器测试计数器输出波形的方法。 一、实验原理 生活中常需要将计数脉冲值直观的显示出来,它的实现一般经过了下面几个步骤,如图,输出的脉冲信号通过显示器显示出相应的数字。 图3.7.1 计数、译码、显示框图 1.计数器 输入的脉冲数通过计数器计数,并将结果用8421 BCD码表示出来,本实验中采用了一种十进制计数器74LS160。 以74160为例,通过对集成计数器功能和应用的介绍,帮助读者提高借助产品手册上给出的功能表,正确而灵活地运用集成计数器的能力。 (1)74LS160的功能介绍 74LS160为十进制可预置同步计数器,其逻辑符号如图,功能表见表 表3.7.l74LS160的功能表

L × × × × × × × × L L L L H L × × ↑ d 0 d 1 d 2 d 3 d 0 d 1 d 2 d 3 H H H H ↑ × × × × 计 数 H H L × × × × × × 保 持 H H × L × × × × × 保 持 注意: 3210Q Q Q Q CT CO T = 计数器有下列输入端:异步清零端CR (低电平有效),时钟脉冲输入端CP , 同步并行置数控制LD (低电平有效),计数控制端 CT T 和 CTp ,并行数据输入端 D 0~D 3。它有下列输出端:四个触发器的输出端Q 0~Q 3,进位输出CO 。 根据功能表3.7.l ,可看出74160具有下列功能: ① 异步清零功能:若CR 输入低电平,则不管其他输入端(包括CP 端)如何,实现四个触发器全部清零。由于这一清零操作不需要时钟脉冲CP 配合(即不管CP 是什么状态都行),所以称为“异步清零”。 ② 同步并行置数功能:在CR =“1”、且LD =“0”的前提下,在CP 上升 沿的作用下,触发器Q 0~Q 3 分别接收并行数据输入信号D 0~D 3,由于这个置数操作必须有 CP 上升沿配合, 并与CP 上升沿同步,所以称为“同步”的。 由于四个触发器同时置入,所以称为“并行”。 ③ 同步十进制加计数功能:在CR =“1”, 图3.7.2 74LS160逻辑符号 LD =“1”的前提下,若计数控制端CT T =CTp=“1”,则对计数脉冲CP 实现同步十进制加计数。这里,“同步”二字既表明计数器是“同步”,而不是“异步”结构,又暗示各触发器动作都与CP (上升沿)同步。 ④ 保持功能:LD CR ==“1”的前提下,若CT T ·CT P =“0”, 即两个计数器控制端中至少有一个输入0,则不管CP 如何(包括上升沿),计数器中各触发

实验四 译码显示电路

实验四译码显示电路 The Standardization Office was revised on the afternoon of December 13, 2020

实验四译码显示电路 一、实验目的: 1、掌握中规模集成译码器的逻辑功能和使用方法 2、熟悉数码管的使用 二、实验仪器及器件: 三、实验步骤及结果 1、按表(二)测试74LS1940 测试结果略 2、实现四节拍顺序脉冲发生器 (1)实验电路图及74LS194功能表 图(一)表(二)74LS194功能表

(2)实验结果 (3)实验波形(Q3 Q2 Q1 Q0分别代表Q D Q C Q B Q A) Q3 Q3与Q2 Q3与Q1 Q3与Q0

3、按图(四)实现四位扫描译码显示电路。采用内容(2)顺序脉冲作为D s 信号。8421BCD 码用逻辑模拟开关输入。自行设计伪码灭灯电路,使正常输入BCD 码时输出为“1",伪码输入时灭灯。 (1) 设计伪码灭灯电路及其电路图 f(A)=(A3(A2’A1’)’)’ (2) 四位扫描译码显示电路原理图 A1A0 A3A2 00 01 11 10 00 1 1 1 1 01 1 1 1 1 11 0 0 0 0 10 1 1

(3)实验预期结果(LED显示)(由于实验箱损坏,实验时无法得到正确的LED数码管的显示数字,这里仅用预期结果表示) 4、自行设计电路在4联装LED数码管同时显示出4个不同的0-7的数字。 使用74LS48上的L1S(Gi)’(i=1,2,3,4)端口。要使第i个显示器显示i,接逻辑电路Yi,使得Yi只有在Ai表示i时为0,其他时候均为1,将之接为L1S(Gj)’=0(j=i,0表示有效),L1S(Gj)’=1(j≠i) 电路图如下:

2016译码显示电路实验报告

实验四译码显示电路 一、实验目的 1. 掌握中规模集成译码器的逻辑功能和使用方法 2. 熟悉数码管的使用 二、实验仪器及器件 1.器件:74LS48, 74LS194 , 74LS73,74LS00 ,74LS197, 74LS153, 74LS138,CLOCK,MPX4-CC-BULE, MPX8-CC-BULE, 及相关逻辑门 三、实验预习 1. 复习有关译码显示原理。 2. 根据实验任务,画出所需的实验线路及记录表格。 四、实验原理 1. 数码显示译码器 (1)七段发光二极管(LED)数码管 LED数码管是目前最常用的数字显示器,图(一)(a)、(b)为共阴管和共阳管的电路,(c)为两种不同出线形式的引出脚功能图。(注:实验室实验箱上数码管为共阴四位数码管) 一个LED数码管可用来显示一位0~9十进制数和一个小数点。小型数码管(寸和寸)每段发光二极管的正向压降,随显示光(通常为红、绿、黄、橙色)的颜色不同略有差别,通常约为2~,每个发光二极管的点亮电流在5~10mA。LED数码管要显示BCD码所表示的十进制数字就需要有一个专门的译码器,该译码器不但要完成译码功能,还要有相当的驱动能力。

(a) 共阴连接(“1”电平驱动)(b) 共阳连接(“0”电平驱动) (c) 符号及引脚功能 图(一)LED数码管 (2)BCD码七段译码驱动器 此类译码器型号有74LS47(共阳),74LS48(共阴),CC4511(共阴)等,本实验系采用74LS48 BCD码锁存/七段译码/驱动器。驱动共阴极LED数码管。

图(二)为74LS48引脚排列。其中 A 0、A 1、A2、A 3— BCD 码输入端 a 、 b 、 c 、 d 、 e 、 f 、 g — 译码输出端,输出“1”有效,用来驱动共阴极LED 数码管。 LT — 灯 测试输入端,LT =“0”时,译码输出全为“1” BI R — 灭 零 输入端,BI R =“0”时,不显示多余的零。 RBO /BI — 作为输入使用时,灭灯输入控制端;作为输出端使用时,灭零输出端。 注:在实验箱上使用了两个4位数码管,对应已经连接好74LS48,如图(四),实验时无需再连线,74LS48只保留引出了A 0、A1、A 2、A 3四个引脚 。在实验箱左上角的P10、P11、P12、P13(P20、P21、P22、P23)代表第一(二)块数码管的BCD 码(即A 0、A 1、A 2、A 3端)输入,DIG1~DIG8分别代表8位数码管的位选端。 2. 扫描式显示 对多位数字显示采用扫描式显示可以节电,这一点在某些场合很重要。对于某些系统输出的的数据,应用扫描式译码显示,可使电路大为简化。利用数码管的余辉效应和人眼的视觉暂留效应,虽然在某一时刻只有一个数码管在显示,但人眼看到的是多个数码管“同时”被点亮的效果。有些系统,比如计算机,某些A/D 转换器,是以这样的形式输出数据的:由选通信号控制多路开关,先后送出(由高位到低位或由低位到高位)一位十进制的BCD 码,如图(三)所示。图中的Ds 称为选通信号,并假定系统按先高位后低位的顺序送出数据,当Ds1低电平送出千位数,Ds2低电平送出百位数,……一般Ds 的低电平相邻之间有一定的间隔,选通信号可用节拍发生器产生。 如图(四)所示,为这种系统的译码扫描显示的原理图。图中各片LED (共阴)的发光段并连接至译码器的相应端,把数据输入的相应端与系统输出端相连,把各位选通端反向后接相应LED 的公共端。

计数器实验报告

实验4 计数器及其应用 一、实验目的 1、学习用集成触发器构成计数器的方法 2、掌握中规模集成计数器的使用及功能测试方法 二、实验原理 计数器是一个用以实现计数功能的时序部件,它不仅可用来计脉冲数,还常用作数字系统的定时、分频和执行数字运算以及其它特定的逻辑功能。 计数器种类很多。按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。根据计数制的不同,分为二进制计数器,十进制计数器和任意进制计数器。根据计数的增减趋势,又分为加法、减法和可逆计数器。还有可预置数和可编程序功能计数器等等。目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数器。使用者只要借助于器件手册提供的功能表和工作波形图以及引出端的排列,就能正确地运用这些器件。 1、中规模十进制计数器 CC40192是同步十进制可逆计数器,具有双时钟输入,并具有清除和置数等功能,其引脚排列及逻辑符号如图5-9-1所示。 图5-9-1 CC40192引脚排列及逻辑符号 图中LD—置数端 CP U—加计数端 CP D—减计数端 CO—非同步进位输出端BO—非同步借位输出端 D0、D1、D2、D3—计数器输入端 Q0、Q1、Q2、Q3—数据输出端 CR—清除端

CC40192的功能如表5-9-1,说明如下: 表5-9-1 输 入 输 出 CR LD CP U CP D D 3 D 2 D 1 D 0 Q 3 Q 2 Q 1 Q 0 1 × × × × × × × 0 0 0 0 0 0 × × d c b a d c b a 0 1 ↑ 1 × × × × 加 计 数 0 1 1 ↑ × × × × 减 计 数 当清除端CR 为高电平“1”时,计数器直接清零;CR 置低电平则执行其它功能。 当CR 为低电平,置数端LD 也为低电平时,数据直接从置数端D 0、D 1、D 2、D 3 置入计数器。 当CR 为低电平,LD 为高电平时,执行计数功能。执行加计数时,减计数端CP D 接高电平,计数脉冲由CP U 输入;在计数脉冲上升沿进行 8421 码十进制加法计数。执行减计数时,加计数端CP U 接高电平,计数脉冲由减计数端CP D 输入,表5-9-2为8421码十进制加、减计数器的状态转换表。 表5-9-2 加法计数 输入脉冲数 0 1 2 3 4 5 6 7 8 9 输出 Q 3 0 0 0 0 0 0 0 0 1 1 Q 2 1 1 1 1 Q 1 0 0 1 1 0 0 1 1 0 0 Q 0 1 0 1 1 1 1 减计数 2、计数器的级联使用 一个十进制计数器只能表示0~9十个数,为了扩大计数器范围,常用多个十进制计数器级联使用。 同步计数器往往设有进位(或借位)输出端,故可选用其进位(或借位)输出信号驱动下一级计数器。 图5-9-2是由CC40192利用进位输出CO 控制高一位的CP U 端构成的加数级联图。

数字电路——2-4译码器设计

目录 1 绪论 (1) 1.1设计背景 (1) 2 电路分析 (2) 2.1 2-4功能分析 (2) 2.2 2-4译码器逻辑图 (3) 3 系统建模与仿真 (4) 3.1 建模 (4) 3.2 仿真波形 (5) 4 仿真结果分析 (7) 5 小结与体会 (8) 参考文献 (9)

1 绪论 1.1设计背景 在数字系统中,经常需要将一中代码转换为另一种代码,以满足特定的需求,完成这 种功能的电路称为码转化电路。译码器就属于其中一种。而译码就是编码的逆过程,它的功能是将具有特定含义的二进制码转换成对应的有效输出信号,具有译码功能的的逻辑电路称为译码器。而2-4译码器是唯一地址译码器,是将一系列的代码转换成与之一一对应有效的信号。常用于计算机中对存储单元地址的译码,因此,设计2-4译码器具有很强的现实意义。 1.2 matlab简介 MATLAB是由美国mathworks公司发布的主要面对科学计算、可视化以及交互式程序设计的高科技计算环境。它将数值分析、矩阵计算、科学数据可视化以及非线性动态系统的建模和仿真等诸多强大功能集成在一个易于使用的视窗环境中,为科学研究、工程设计以及必须进行有效数值计算的众多科学领域提供了一种全面的解决方案,并在很大程度上摆脱了传统非交互式程序设计语言(如C、Fortran)的编辑模式,代表了当今国际科学 计算软件的先进水平。它主要由 MATLAB和Simulin k两大部分组成。本设计主要采用simulink进行设计与仿真。Simulink是MATLAB最重要的组件之一,它提供一个动态系统建模、仿真和综合分析的集成环境。在该环境中,无需大量书写程序,而只需要通过简单直观的鼠标操作,就可构造出复杂的系统。Simulink具有适应面广、结构和流程清晰及仿真精细、贴近实际、效率高、灵活等优点,并基于以上优点Simulink已被广泛应用于控制理论和数字信号处理的复杂仿真和设计。同时有大量的第三方软件和硬件可应用于或被要求应用于Simulink。掌握这个软件的应用具有十分重要的意义。

FPGA 显示译码电路实验报告

上海电力学院 实验报告 实验课程名称: FPGA应用开发实验实验项目名称:显示译码电路 班级: 姓名:学号: 成绩:________________

一、实验目的 1.实现常见英语字母显示。 2.实现十六进制计数显示。 3.加深PLD设计的过程,并比较原理图输入和文本输入的优劣。 二、实验原理 (1)显示简单字符 七段数码管显示电路如下图所示: 参考原理图: 图中包含一个七段解码器模块,c2~c0是解码器的3个输入,当输入值不同时,输出不同的字符。如表中所示,当输入值为100~111时,输出空格,即数码管全暗。七段数码管的不同段位用数字0~6表示,注意七段数码管是共阳极的,即各管段输入低电平时,数码管亮;否则数码管暗。 (2)显示0~9数字 在完成简单字符显示电路之后,设计一个用于显示0~9数字的七段数码管电路。电路图如下图所示,c3~c0是七段数码器的输入,当输入0000~1001时,则输出0~9,如表中所示;当输入1010~1111时,输出空格。 参考原理图:

(3)循环显示4个字符 电路的工作原理是,输入端U、V、W和X的输入值分别是000、001、010和011,通过s1和s0选择四个输入端其中一个作为七段解码器的输入值,从而显示H、L、E和O任一字符。 参考原理图: 三、实验步骤 (1)显示简单字符 <1>VHDL硬件描述语言为:

<2>功能仿真: <3>时序仿真: <4>引脚分配: <5>程序下载:

之后在DE2上验证,拨动开关,能显示对应的字母。(2)显示0~9数字 <1>VHDL硬件描述语言为: <2>功能仿真: <3>时序仿真:

计数译码显示电路实验

实验五计数、译码、显示电路 一、实验目的 掌握中规模集成计数器74LS161及七段译码器CD4511的逻辑功能,掌握共阴极七段显示器的使用方法,熟悉用示波器测试计数器输出波形的方法。 二、实验原理 计数、译码、显示电路就是由计数器、译码器与显示器三部分电路组成的逻辑电路。下面分别加以介绍。 1.计数器:计数器就是一种中规模集成电路,其种类有很多。如果按照触发器翻转的次序分类,可分为同步计数器与异步计数器两种;如果按照计数数字的增减可分为加法计数器、减法计数器与可逆计数器三种;如果按照计数器进位规律又可分为二进制计数器、十进制计数器、可编程N进制计数器等多种。 常用计数器均有典型产品,不须自 己设计,只要合理选用即可。 本实验选用四位二进制同步计数 器74LS161做计数器,该计数器外加适 当的反馈电路可以构成十六进制以内 的任意进制计数器。图5-1就是它的逻 辑图。这个电路除了具有二进制加法计 数功能外,还具有预置数、清零、保持的 功能。图中LD就是预置数控制端,D、 R就是 C、B、A就是预置数据输入端, D 清零端,EP、ET就是计数器使能控制 端,RCO就是进位信号输出端,它的主要 功能有: ①异步清零功能

R=0(输出低电平),则输出QDQCQBQA=0000,除EP、ET信号外,与其它输入信号无若 D 关,也不需要CP脉冲的配合,所以称为“异步清零”。 ②同步并行置数功能 R=1,且LD=0的条件下,当CP上升沿到来后,触发器QDQCQBQA同时接收D、C、在 D B、A输入端的并行数据。由于数据进入计数器需要CP脉冲的作用,所以称为“同步置数”,由于4个触发器同时置入,又称为“并行”。 ③保持功能 R=1,LD=1的条件下,EP、ET两个使能端只要有一个低电平,计数器将处于数据保在 D 持状态,与CP及D、C、B、A输入无关。 ④计数功能 R=1、LD=1、EP=1、ET=1的条件下,计数器对CP端输入脉冲进行计数,计数方式在 D 为二进制加法,状态变化在QDQCQBQA=0000~1111间循环。74LS161的功能表详见表5-l 所示。 表5-1 74LS161的功能表 本实验所需计数器就是十进制计数器,必须对74LS161外加适当的反馈电路构成十进制计数器,状态变化在QDQCQBQA=0000~1001间循环。 用反馈的方法构成十进制计数器一般有两种形式,即与反馈置数法。反馈置零法就是利用R构成,即:当Q D Q C Q B Q A=1010(十进制数10)时,通过反馈线强制计数器清零,如图 清除端 D 5-2(a)所示。由于该电路会出现瞬间1010状态,会引起译码电路的误动作,因此很少被采用。反

七段显示译码器电路设计

题目:七段显示译码器电路设计专业:生产过程自动化专业 班级:生产过程0901 姓名: 学号: 指导老师:杨旭

目录 第一节绪论…………………………………………………………………………….. 1.1本设计的任务和主要内容……………………………………………………………….. 1.2基本工作原理及原理框图………………………………………………………………...第二节硬件电路的设计………………………………………………………………… 2.1BCD译码器选择与设计……………………………………………………………………. 2.2LED显示器的设计…………………………………………………………………………… 2.3总的设计……………………………………………………………………………………第四节设计总结………………………………………………………………………… 第一节绪论 本课程设计的七段译码器主要以BCD译码器或LED显示器为主要部件,应用集成门电路组成的一个具有译码和显示的装置。其中BCD 译码器采用8421BCD译码器,即----七段显示译码器(74LS48)型。LED显示器是由发光二极管组成的,LED显示器分共阴极和共阳极两种型号,共阴极LED显示器的发光二级管阴极接地,共阳极LED显示器的发光二极管阳极并联。最后把BCD译码器或LED显示器组成了的

装置就具有了显示和译码的功能。此七段译码器也就成功了。 1.1设计的任务和本主要内容 1)运用LED显示器或BCD译码器实现一定的功能 2)写出详细的实验报告 1.2基本工作原理及原理框图 基本工作原理及原理框图如下: 第二节硬件的设计 BCD译码器选择与设计 发光二极管(LED)由特殊的半导体材料砷化镓、磷砷化镓等制成,可以单独使用,也可以组装成分段式或点阵式LED显示器件(半导体显示器)。分段式显示器(LED数码管)由7条线段围成字型,每一段包含一个发光二极管。外加正向电压时二极管导通,发出清晰的光,有红、黄、绿等色。只要按规律控制各发光段的亮、灭,就可以显示各种字形或符号。 LED数码管有共阳、共阴之分。图4 - 17(a)是共阴式LED数码管的原理图,图4-17(b)是其表示符号。使用时,公共阴极接地,7个阳极a~g由相应的BCD七段译码器来驱动(控

相关主题
文本预览
相关文档 最新文档