当前位置:文档之家› 数字逻辑电路与系统设计习题及解答[蒋立平主编]

数字逻辑电路与系统设计习题及解答[蒋立平主编]

数字逻辑电路与系统设计习题及解答[蒋立平主编]
数字逻辑电路与系统设计习题及解答[蒋立平主编]

时序逻辑电路习题解答

5-1 分析图所示时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图和时序图。 CLK Z 图 题 5-1图 解:从给定的电路图写出驱动方程为: 0012 10 21()n n n n n D Q Q Q D Q D Q ?=??=?? =?? e 将驱动方程代入D 触发器的特征方程D Q n =+1 ,得到状态方程为: 10012110 12 1()n n n n n n n n Q Q Q Q Q Q Q Q +++?=??=??=??e 由电路图可知,输出方程为 2 n Z Q = 根据状态方程和输出方程,画出的状态转换图如图题解5-1(a )所示,时序图如图题解5-1(b )所示。 题解5-1(a )状态转换图

1 Q 2/Q Z Q 题解5-1(b )时序图 综上分析可知,该电路是一个四进制计数器。 5-2 分析图所示电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图。A 为输入变量。 Y A 图 题 5-2图 解:首先从电路图写出驱动方程为: () 0110101()n n n n n D AQ D A Q Q A Q Q ?=? ?==+?? 将上式代入触发器的特征方程后得到状态方程 () 1011 10101()n n n n n n n Q AQ Q A Q Q A Q Q ++?=? ?==+?? 电路的输出方程为: 01n n Y AQ Q = 根据状态方程和输出方程,画出的状态转换图如图题解5-2所示

Y A 题解5-2 状态转换图 综上分析可知该电路的逻辑功能为: 当输入为0时,无论电路初态为何,次态均为状态“00”,即均复位; 当输入为1时,无论电路初态为何,在若干CLK 的作用下,电路最终回到状态“10”。 5-3 已知同步时序电路如图(a)所示,其输入波形如图 (b)所示。试写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图和时序图,并说明该电路的功能。 X (a) 电路图 1234CLK 5678 X (b)输入波形 图 题 5-3图 解:电路的驱动方程、状态方程和输出方程分别为: 0010110001101101 1, ,n n n n n n n n n n J X K X J XQ K X Q X Q XQ X Q XQ Q XQ XQ XQ Y XQ ++?==??==???=+=?? ?=+=+?= 根据状态方程和输出方程,可分别做出11 10,n n Q Q ++和Y 的卡诺图,如表5-1所示。由此 做出的状态转换图如图题解5-3(a)所示,画出的时序图如图题解5-3(b )所示。

组合逻辑电路习题解答

自我检测题 1.组合逻辑电路任何时刻的输出信号,与该时刻的输入信号 有关 ,与以前的输入信号 无关 。 2.在组合逻辑电路中,当输入信号改变状态时,输出端可能出现瞬间干扰窄脉冲的现象称为 竞争冒险 。 3.8线—3线优先编码器74LS148的优先编码顺序是7I 、6I 、5I 、…、0I ,输出为 2Y 1Y 0Y 。输入输出均为低电平有效。当输入7I 6I 5I …0I 为时,输出2Y 1Y 0Y 为 010 。 4.3线—8线译码器74HC138处于译码状态时,当输入A 2A 1A 0=001时,输出07Y ~Y = 。 5.实现将公共数据上的数字信号按要求分配到不同电路中去的电路叫 数据分配器 。 6.根据需要选择一路信号送到公共数据线上的电路叫 数据选择器 。 7.一位数值比较器,输入信号为两个要比较的一位二进制数,用A 、B 表示,输出信号为比较结果:Y (A >B ) 、Y (A =B )和Y (A <B ),则Y (A >B )的逻辑表达式为B A 。 8.能完成两个一位二进制数相加,并考虑到低位进位的器件称为 全加器 。 9.多位加法器采用超前进位的目的是简化电路结构 × 。 (√,× ) 10.组合逻辑电路中的冒险是由于 引起的。 A .电路未达到最简 B .电路有多个输出 C .电路中的时延 D .逻辑门类型不同 11.用取样法消除两级与非门电路中可能出现的冒险,以下说法哪一种是正确并优先考虑的 A .在输出级加正取样脉冲 B .在输入级加正取样脉冲 C .在输出级加负取样脉冲 D .在输入级加负取样脉冲 12.当二输入与非门输入为 变化时,输出可能有竞争冒险。 A .01→10 B .00→10 C .10→11 D .11→01 13.译码器74HC138的使能端321E E E 取值为 时,处于允许译码状态。 A .011 B .100 C .101 D .010 14.数据分配器和 有着相同的基本电路结构形式。 A .加法器 B .编码器 C .数据选择器 D .译码器 15.在二进制译码器中,若输入有4位代码,则输出有 个信号。 A .2 B .4 C .8 D .16 16.比较两位二进制数A=A 1A 0和B=B 1B 0,当A >B 时输出F =1,则F 表达式是 。 A . B A F = B .0101B B A A F ++= .0011B A B A F ++=

verilog数字系统设计教程习题答案

verilog 数字系统设计教程习题答案第二章 HDL 既是一种行为描述语言,也是一种结构描述语言。如果按照一定的规则和风格编写代码,就可以将功能行为模块通过工具自动转化为门级互联的结构模块。这意味着利用Verilog 语言所提供的功能,就可以构造一个模块间的清晰结构来描述复杂的大型设计,并对所需的逻辑电路进行严格的设计。 2.模块的基本结构由关键词module和endmodule构成。 3.一个复杂电路系统的完整Verilog HDL 模型是由若干个Verilog HDL模块构成的,每一个模块又可以由若干个子模块构成。其中有些模块需要综合成具体电路,而有些模块只是与用户所设计的模块交互的现存电路或激励信号源。利用Verilog HDL语言结构所提供的这种功能就可以构造一个模块间的清晰层次结构来描述极其复杂的大型设计,并对所作设计的逻辑电路进行严格的验证。 HDL和VHDL乍为描述硬件电路设计的语言,其共同的特点在于:能形式化地抽象表示电路的结构和行为、支持逻辑设计中层次与领域的描述、可借用高级语言的精巧结构来简化电路的描述、具有电路仿真与验证机制以保证设计的正确性、支持电路描述由高层到低层的综合转换、硬件描述与实现工艺无关(有关工艺参数可通过语言提供的属性包括进去)、便于文档管理、易于理解和设计重用。 5.不是

6.将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。 7.综合工具可以把HDL变成门级网表。这方面Synopsys工具占有较大的优势,它的Design Compile 是作为一个综合的工业标准,它还有另外一个产品叫Behavior Compiler ,可以提供更高级的综合。 另外最近美国又出了一个软件叫Ambit ,据说比Synopsys 的软件更有效,可以综合50万门的电路,速度更快。今年初Ambit 被Cadence 公司收购,为此Cade nee放弃了它原来的综合软件Syn ergy。随着FPGA 设计的规模越来越大,各EDA公司又开发了用于FPGA设计的综合软件,比较有名的有:Sy nopsys 的FPGAExpress,Cade nee 的Syn plity ,Mentor的Leonardo,这三家的FPGA综合软件占了市场的绝大部分。 8.整个综合过程就是将设计者在EDA平台上编辑输入的HDL文本、原理图或状态图形描述,依据给定的硬件结构组件和约束控制条件 进行编译、优化、转换和综合,最终获得门级电路甚至更底层的电路描述网表文件。用于适配,适配将由综合器产生的网表文件配置于指定的目标器件中,使之产生最终的下载文件,如JEDEC Jam格式的 文件 9.在FPGA设计中,仿真一般分为功能仿真(前仿真)和时序仿真(后仿真)。功能仿真又叫逻辑仿真,是指在不考虑器件延时和布线延时的理想情况下对源代码进行逻辑功能的验证;而时序仿真是在布局布线后进行,它与

第4章组合逻辑电路课后答案

第4 章 [题 4.1].分析图P4.1电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 P3AP1P5P2P3P4 A P 4CP2 P3Y P5P6 B P1 AB Y P2BP1 C P6CP4 图P4.1 图P4.2 解:( 1)逻辑表达式 Y P5P6P2 P3 P4 CP4P2 P3P4CP4 P2 P3 C CP2 P3P2 P3 C C P2P3 PPC23P PC 2 3 P2 P3BP1 AP1 B AB AAB AB AB Y P2P3C P2 P3C AB AB C AB ABC AB ABC AB C ABC AB ABC AB ABC C ( 2)真值表 A B C Y A B C Y 00011000 00101011 01001101 01111110 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1 和全为0 时,Y=1,否则 Y=0 。 [题 4.3] 分析图P4.3电路的逻辑功能,写出Y1、、Y2的逻辑函数式,列出真值表,指出 电路完成什么逻辑功能。

A B Y 2 C Y 1 图 P4.3 [解 ] 解: Y2AB BC AC Y1 ABC ( A B ) C Y2 ABC ( A B ) BC AC C AB ABC ABC ) ABC ABC 真值表: A B C Y1 Y2 00000 00110 01010 01101 10010 10101 11001 11111 由真值表可知:电路构成全加器,输入 A 、B 、C 为加数、被加数和低位的进位,Y 1为“和”, Y 2为“进位”。 [题 4.4]图 P4.4 是对十进制数9 求补的集成电路CC14561 的逻辑图,写出当COMP=1 、Z=0 、和 COMP=0 、 Z=0 时, Y 1~ Y 4的逻辑式,列出真值表。

(完整版)时序逻辑电路习题与答案

第12章时序逻辑电路 自测题 一、填空题 1.时序逻辑电路按状态转换情况可分为时序电路和时序电路两大类。 2.按计数进制的不同,可将计数器分为、和N进制计数器等类型。 3.用来累计和寄存输入脉冲个数的电路称为。 4.时序逻辑电路在结构方面的特点是:由具有控制作用的电路和具记忆作用电路组成。、 5.、寄存器的作用是用于、、数码指令等信息。 6.按计数过程中数值的增减来分,可将计数器分为为、和三种。 二、选择题 1.如题图12.1所示电路为某寄存器的一位,该寄存器为 。 A、单拍接收数码寄存器; B、双拍接收数码寄存器; C、单向移位寄存器; D、双向移位寄存器。 2.下列电路不属于时序逻辑电路的是。 A、数码寄存器; B、编码器; C、触发器; D、可逆计数器。 3.下列逻辑电路不具有记忆功能的是。 A、译码器; B、RS触发器; C、寄存器; D、计数器。 4.时序逻辑电路特点中,下列叙述正确的是。 A、电路任一时刻的输出只与当时输入信号有关; B、电路任一时刻的输出只与电路原来状态有关; C、电路任一时刻的输出与输入信号和电路原来状态均有关; D、电路任一时刻的输出与输入信号和电路原来状态均无关。 5.具有记忆功能的逻辑电路是。 A、加法器; B、显示器; C、译码器; D、计数器。 6.数码寄存器采用的输入输出方式为。 A、并行输入、并行输出; B、串行输入、串行输出; C、并行输入、串行输出; D、并行输出、串行输入。 三、判断下面说法是否正确,用“√"或“×"表示在括号 1.寄存器具有存储数码和信号的功能。( ) 2.构成计数电路的器件必须有记忆能力。( ) 3.移位寄存器只能串行输出。( ) 4.移位寄存器就是数码寄存器,它们没有区别。( ) 5.同步时序电路的工作速度高于异步时序电路。( ) 6.移位寄存器有接收、暂存、清除和数码移位等作用。() 思考与练习题 12.1.1 时序逻辑电路的特点是什么? 12.1.2 时序逻辑电路与组合电路有何区别? 12.3.1 在图12.1电路作用下,数码寄存器的原始状态Q3Q2Q1Q0=1001,而输入数码

3组合逻辑电路习题解答

3组合逻辑电路习题解答 33 自我检测题 1.组合逻辑电路任何时刻的输出信号,与该时刻的输入信号 有关 ,与以前的输入信号 无关 。 2.在组合逻辑电路中,当输入信号改变状态时,输出端可能出现瞬间干扰窄脉冲的现象称为 竞争冒险 。 3.8线—3线优先编码器74LS148的优先编码顺序是7I 、6I 、5I 、…、0I ,输出为 2Y 1Y 0Y 。输入输出均为低电平有效。当输入7I 6I 5I …0I 为11010101时,输出2Y 1Y 0Y 为 010 。 4.3线—8线译码器74HC138处于译码状态时,当输入A 2A 1A 0=001时,输出07Y ~Y = 11111101 。 5.实现将公共数据上的数字信号按要求分配到不同电路中去的电路叫 数据分配器 。 6.根据需要选择一路信号送到公共数据线上的电路叫 数据选择器 。 7.一位数值比较器,输入信号为两个要比较的一位二进制数,用A 、B 表示,输出信号为比较结果:Y (A >B ) 、Y (A =B )和Y (A <B ),则Y (A >B )的逻辑表达式为B A 。 8.能完成两个一位二进制数相加,并考虑到低位进位的器件称为 全加器 。 9.多位加法器采用超前进位的目的是简化电路结构 × 。 (√,× ) 10.组合逻辑电路中的冒险是由于 引起的。 A .电路未达到最简 B .电路有多个输出 C .电路中的时延 D .逻辑门类型不同 11.用取样法消除两级与非门电路中可能出现的冒险,以下说法哪一种是正确并优先考虑的? A .在输出级加正取样脉冲 B .在输入级加正取样脉冲 C .在输出级加负取样脉冲 D .在输入级加负取样脉冲 12.当二输入与非门输入为 变化时,输出可能有竞争冒险。 A .01→10 B .00→10 C .10→11 D .11→01 13.译码器74HC138的使能端321E E E 取值为 时,处于允许译码状态。 A .011 B .100 C .101 D .010 14.数据分配器和 有着相同的基本电路结构形式。 A .加法器 B .编码器 C .数据选择器 D .译码器 15.在二进制译码器中,若输入有4位代码,则输出有 个信号。 A .2 B .4 C .8 D .16 16.比较两位二进制数A=A 1A 0和B=B 1B 0,当A >B 时输出F =1,则F 表达式是 。

组合逻辑电路练习题和答案

第2章习题 一、单选题 1.若在编码器中有50个编码对象,则输出二进制代码位数至少需要( B )位。 A)5 B)6 C)10 D)50 2.一个16选1的数据选择器,其选择控制(地址)输入端有( C )个,数据输入端有( D )个,输出端有( A )个。 A)1 B)2 C)4 D)16 3.一个8选1的数据选择器,当选择控制端S2S1S0的值分别为101时,输出端输出( D )的值。 A)1 B)0 C)D4D)D5 4.一个译码器若有100个译码输出端,则译码输入端至少有( C )个。 A)5 B)6 C)7 D)8 5.能实现并-串转换的是( C )。 A)数值比较器B)译码器C)数据选择器D)数据分配器 6.能实现1位二进制带进位加法运算的是( B )。 A)半加器B)全加器C)加法器D)运算器 7.欲设计一个3位无符号数乘法器(即3×3),需要()位输入及( D )位输出信号。A)3,6 B)6,3 C)3,3 D)6,6 8.欲设计一个8位数值比较器,需要()位数据输入及( B )位输出信号。 A)8,3 B)16,3 C)8,8 D)16,16 9. 4位输入的二进制译码器,其输出应有( A )位。 A)16 B)8 C)4 D)1 二、判断题 1. 在二——十进制译码器中,未使用的输入编码应做约束项处理。() 2. 编码器在任何时刻只能对一个输入信号进行编码。()

3. 优先编码器的输入信号是相互排斥的,不容许多个编码信号同时有效。( ) 4. 编码和译码是互逆的过程。( ) 5. 共阴发光二极管数码显示器需选用有效输出为高电平的七段显示译码器来驱动。( ) 6. 3位二进制编码器是3位输入、8位输出。( ) 7. 组合逻辑电路的特点是:任何时刻电路的稳定输出,仅仅取决于该时刻各个输入变量的取值,与电路原来的状态无关。( ) 8. 半加器与全加器的区别在于半加器无进位输出,而全加器有进位输出。( ) 9. 串行进位加法器的优点是电路简单、连接方便,而且运算速度快。( ) 10. 二进制译码器的每一个输出信号就是输入变量的一个最小项。( ) 11. 竞争冒险是指组合电路中,当输入信号改变时,输出端可能出现的虚假信号。( ) 三、综合题 1.如图所示逻辑电路是一个什么电路,当A 3~A 0输入0110,B 3~B 0输入1011,Cin 输入1时,Cout 及S 3~S 0分别输出什么 +A 3B 3C in 3C out +++A 2B 2A 1B 1A 0B 0210 答:图中所示电路是4位串行进位全加器电路 C out =1,S 3S 2S 1S 0=0001 2.使用门电路设计一个4选1的数据选择 器,画出逻辑图。 解:4选1数据选择器有4个数据输入 端(D 0D 1D 2D 3),2个选择输入端(S 1S 0),1个 数据输出端(Y )。真值表如下: D S 1 S 0 Y

数字电路与系统设计课后习题答案

(此文档为word格式,下载后您可任意编辑修改!) 1.1将下列各式写成按权展开式: (352.6)10=3×102+5×101+2×100+6×10-1 (101.101)2=1×22+1×20+1×2-1+1×2-3 (54.6)8=5×81+54×80+6×8-1 (13A.4F)16=1×162+3×161+10×160+4×16-1+15×16-2 1.2按十进制0~17的次序,列表填写出相应的二进制、八进制、十六进制数。 解:略 解:分别代表28=256和210=1024个数。 (1750)8=(1000)10 (3E8)16=(1000)10 1.5将下列各数分别转换为二进制数:(210)8,(136)10,(88)16 1.6将下列个数分别转换成八进制数:(111111)2,(63)10,(3F)16 解:结果都为(77)8 解:结果都为(FF)16 1.8转换下列各数,要求转换后保持原精度: (0110.1010)余3循环BCD码=(1.1110)2 1.9用下列代码表示(123)10,(1011.01)2: 解:(1)8421BCD码: (123)10=(0001 0010 0011)8421BCD (1011.01)2=(11.25)10=(0001 0001.0010 0101)8421BCD (2)余3 BCD码 (123)10=(0100 0101 0110)余3BCD (1011.01)2=(11.25)10=(0100 0100.0101 1000)余3BCD (1)按二进制运算规律求A+B,A-B,C×D,C÷D, (2)将A、B、C、D转换成十进制数后,求A+B,A-B,C×D,C÷D,并将结果与(1)进行比较。 A-B=(101011)2=(43)10 C÷D=(1110)2=(14)10 (2)A+B=(90)10+(47)10=(137)10 A-B=(90)10-(47)10=(43)10 C×D=(84)10×(6)10=(504)10 C÷D=(84)10÷(6)10=(14)10 两种算法结果相同。

组合逻辑电路课后答案

第4章 [题].分析图电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 图P4.1 B Y AP 56 P P = 图 解:(1)逻辑表达式 ()()() 5623442344 232323232323 Y P P P P P CP P P P CP P P C CP P P P C C P P P P C P PC ===+=+=++=+ 2311P P BP AP BABAAB AB AB ===+ ()()()2323Y P P C P P C AB AB C AB ABC AB AB C AB AB C ABC ABC ABC ABC =+=+++=+++=+++ (2)真值表 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1和全为0时,Y =1,否则Y=0。 [题] 分析图电路的逻辑功能,写出Y 1、、Y 2的逻辑函数式,列出真值表,指出电路完成什么逻辑功能。

图P4.3 B 1 Y 2 [解] 解: 2Y AB BC AC =++ 12 Y ABC A B C Y ABC A B C AB BC AC ABC ABC ABC ABC =+++=+++++=+++()()) 由真值表可知:、C 为加数、被加数和低位的进位,Y 1为“和”,Y 2为“进位”。 [题] 图是对十进制数9求补的集成电路CC14561的逻辑图,写出当COMP=1、Z=0、和COMP=0、Z=0时,Y 1~Y 4的逻辑式,列出真值表。

图P4.4 [解] (1)COMP=1、Z=0时,TG 1、TG 3、TG 5导通,TG 2、TG 4、TG 6关断。 3232211 , ,A A Y A Y A Y ⊕===, 4324A A A Y ++= (2)COMP=0、Z=0时, Y 1=A 1, Y 2=A 2, Y 3=A 3, Y 4=A 4。 COMP=0、Z=0的真值表从略。 [题] 用与非门设计四变量的多数表决电路。当输入变量A 、B 、C 、D 有3个或3个以上为1时输出为1,输入为其他状态时输出为0。 [解] 题的真值表如表所示,逻辑图如图(b)所示。

时序逻辑电路练习题及答案

《时序逻辑电路》练习题及答案 [6.1] 分析图P6-1时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图,说明电路能否自启动。 图P6-1 [解] 驱动方程:311Q K J ==, 状态方程:n n n n n n n Q Q Q Q Q Q Q 13131311⊕=+=+; 122Q K J ==, n n n n n n n Q Q Q Q Q Q Q 12212112 ⊕=+=+; 33213Q K Q Q J ==,, n n n n Q Q Q Q 12313 =+; 输出方程:3Q Y = 由状态方程可得状态转换表,如表6-1所示;由状态转换表可得状态转换图,如图A6-1所示。电路可以自启动。 表6-1 n n n Q Q Q 123 Y Q Q Q n n n 111213+++ n n n Q Q Q 123 Y Q Q Q n n n 1112 13+++ 0 00 00 1 010 01 1 0010 0100 0110 1000 100 10 1 110 11 1 000 1 011 1 010 1 001 1 图A6-1 电路的逻辑功能:是一个五进制计数器,计数顺序是从0到4循环。 [6.2] 试分析图P6-2时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图。A 为输入逻辑变量。 图P6-2

[解] 驱动方程:21 Q A D =, 2 12Q Q A D = 状态方程:n n Q A Q 21 1 =+, )(122112n n n n n Q Q A Q Q A Q +==+ 输出方程:21Q Q A Y = 表6-2 由状态方程可得状态转换表,如表6-2所示;由状态转换表 可得状态转换图,如图A6-2所示。 电路的逻辑功能是:判断A 是否连续输入四个和四个以上“1” 信号,是则Y=1,否则Y=0。 图A6-2 [6.3] 试分析图P6-3时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图,检查电路能否自启动。 图P6-3 [解] 321Q Q J =,11=K ; 12Q J =,312Q Q K =; 23213Q K Q Q J ==, =+11n Q 32Q Q ·1Q ; 211 2 Q Q Q n =++231Q Q Q ; 3232113Q Q Q Q Q Q n +=+ Y = 32Q Q 电路的状态转换图如图A6-3所示,电路能够自启动。 图A6-3 [6.4] 分析图P6-4给出的时序电路,画出电路的状态转换图,检查电路能否自启动,说明电路实现的功能。A 为输入变量。 n n Q AQ 12 Y Q Q n n 1 112++ 000 00 1 010 01 1 100 11 1 110 10 1 010 100 110 00 1 11 1 100 010 000

组合逻辑电路习题解答

复习思考题 3-1 组合逻辑电路的特点 从电路结构上看,组合电路只由逻辑门组成,不包含记忆元件,输出和输入之间无反馈。任意时刻的输出仅仅取决于该时刻的输入,而与电路原来的状态无关,即无记忆功能。 3-2 什么是半加什么是全加区别是什么 若不考虑有来自低位的进位将两个1位二进制数相加,称为半加。两个同位的加数和来自低位的进位三者相加,称为全加。半加是两个1位二进制数相加,全加是三个1位二进制数相加。 3-3 编码器与译码器的工作特点 编码器的工作特点:将输入的信号编成一个对应的二进制代码,某一时刻只能给一个信号编码。译码器的工作特点:是编码器的逆操作,将每个输入的二进制代码译成对应的输出电平。 3-4 用中规模组合电路实现组合逻辑函数是应注意什么问题 中规模组合电路的输入与输出信号之间的关系已经被固化在芯片中,不能更改,因此用中规模组合电路实现组合逻辑函数时要对所用的中规模组合电路的产品功能十分熟悉,才能合理地使用。 3-5 什么是竞争-冒险产生竞争-冒险的原因是什么如何消除竞争-冒险 在组合逻辑电路中,当输入信号改变状态时,输出端可能出现虚假信号----过渡干扰脉冲的现象,叫做竞争冒险。门电路的输入只要有两个信号同时向相反方向变化,这两个信号经过的路径不同,到达输入端的时间有差异,其输出端就可能出现干扰脉冲。消除竞争-冒险的方法有:接入滤波电容、引入选通脉冲、修改逻辑设计。 习 题 3-1试分析图所示各组合逻辑电路的逻辑功能。 解: (a)图 (1) 由逻辑图逐级写出表达式:)()(D C B A Y ⊕⊕⊕= (2) 化简与变换:

令 D C Y B A Y ⊕=⊕=21 则 21Y Y Y ⊕= 输入 中间变量 中间变量 输出 A B C D Y 1 Y 2 Y 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 1 0 0 1 1 0 0 1 0 1 1 0 (4)分析逻辑功能:由真值表可知,该电路所能完成的逻辑功能是:判断四个输入端输入1的情况,当输入奇数个1时,输出为1,否则输出为0。 (b)图 (1) 由逻辑图逐级写出表达式: B A B A Y ⊕⊕⊕=(2) 化简与变换:Y=1 由此可见,无论输入是什么状态,输出均为1 3-2 试分析图所示各组合逻辑电路的逻辑功能,写出函数表达式。

数字逻辑与数字系统设计习题参考答案

数字逻辑与数字系统设计 第1章习题解答 1.3 (1)86 (2)219 (3)106.25 (4)0.6875 1.4 (1)101111 (2)1001000 (3)100001l.11 (4)0.101 1.5 (1)(117)10=(165)8=(1110101)2=(75)16 (2)(3452)10=(6574)8=(1)2=(D7C)16 (3)(23768.6875)10=(56330.54)8=(.1011)2=(5CD8.B)16 (4)(0.625)10=(0.5)8=(0.101)2=(0.A)16 1.6 (1)(117)8=(1001111)2=(79)10 (2)(7456)8=(1)2=(3886)10 (3)(23765.64)8=(10 0111 1111 0101.1101)2=(10229.8125)10 (4)(0.746)8=(0.11111)2=(0.96875)10 1.7 (1) (9A)16=()2=(154)10 (2) (3CF6)16=(111)2=(15606)10 (3) (7FFE.6)16=(.011)2=(32766.375)10 (4) (0.C4)16=(0.110001)2=(0.765625)10 1-8 (1)(125)10=(0001)8421BCD (2)(7342)10=(0)8421BCD (3)(2018.49)10=(00011000.01001001)8421BCD (4)(0.785)10=(0.0)8421BCD 1.9 (1)(106)10=(1101010)2原码=反码=补码=01101010 (2)(-98)10=(-1100010)2 原码= 反码= 补码= (3)(-123)10=(-1111011)2 原码= 反码= 补码= (4)(-0.8125)10=(-0.1101)2 原码=1.1101000 反码=1.0010111 补码=1.0011000 1.10 (1)(104)10=(1101000)2 [1101000]补=01101000 (-97)10=(-1100001)2 [-1100001]补= + 01001111 01101000 + 00000111

组合逻辑电路练习题及答案

组合逻辑电路练习题及答案 一.填空题(10) 1.任何有限的逻辑关系,不管多么复杂,其逻辑函数都可通过逻辑变量的与、或、非三种运算符加以实现,但逻辑函数的一般表达式不是唯一的,而其标准表达式是唯一的。 2.任意两个最小项之积为0,任意两个最大项之和为1。 3.对于逻辑函数BC A F,但这 AB F,为了化简,利用逻辑代数的基本定理,可表示为C C A AB 可能引起0型险象,因为在B=1、C=1时,化简前逻辑函数的值恒为1,但化简后逻辑函数的值为A A。 4.当我们在计算机键盘上按一个标为“9”的按键时,键盘向主机送出一个ASCII码,这个ASCII码的值为39。 5.在 3.3V供电的数字系统里,所谓的高电平并不是一定是 3.3V,而是有一个电压范围,我们把这个电压范围称为高电平容限;同样所谓的低电平并不是一定是0V,而也是有一个电压范围,我们把这个电压范围称为低电平容限。 二.选择题(10) 1.在下列程序存储器的种类中,可在线改写的有 b d。 a. PROM; b. E2PROM; c. EPROM; d. FLASH_M 2.为了实现某种逻辑运算关系,其实现方法有多种多样,其中历史上曾经用到的有以下几种方式,但实现的空间密度最小、能耗最低、能得到普及应用的实现方式是d。 a. 机械式; b.电磁式; c. 分立元件式; d. 集成电路 3.在数字电路中,根据电路是否具有反馈记忆功能,将其分为组合逻辑电路和时序逻辑电路两种。下列各项中,为组合逻辑电路的是befgi ,为时序逻辑电路的是acdh。 a. 触发器; b. 译码器; c. 移位寄存器; d. 计数器; e. 加法器; f. 编码器;g. 数值比较器;h. 寄存器;i. 多路选择器 4.卡诺图上变量的取值顺序是采用b的形式,以便能够用几何上的相邻关系表示逻辑上的相邻。 a. 二进制码; b. 循环码; c. ASCII码; d. 十进制码 5.在可编程逻辑芯片中,有PROM、PAL、GAL、CPLD等多种结构方式,其中PROM是b,PAL 是c,GAL是a,CPLD是a。 a. 与阵列可编程; b.或阵列可编程; c. 与或阵列皆可编程 三.简答题(50) 1.分别画出JK和D触发器的电路符号图,并分别画出将JK触发器转换成D触发器以及将D触发器转换成JK触发器的电路连接图。 1

时序逻辑电路习题

触发器 一、单项选择题: (1)对于D触发器,欲使Q n+1=Q n,应使输入D=。 A、0 B、1 C、Q D、 (2)对于T触发器,若原态Q n=0,欲使新态Q n+1=1,应使输入T=。 A、0 B、1 C、Q (4)请选择正确的RS触发器特性方程式。 A、 B、 C、 (约束条件为) D、 (5)请选择正确的T触发器特性方程式。 A、 B、 C、 D、 (6)试写出图所示各触发器输出的次态函数(Q )。 n+1 A、 B、 C、 D、 (7)下列触发器中没有约束条件的是。 A、基本RS触发器 B、主从RS触发器 C、同步RS触发器 D、边沿D触发器 二、多项选择题: (1)描述触发器的逻辑功能的方法有。 A、状态转换真值表 B、特性方程 C、状态转换图 D、状态转换卡诺图 (2)欲使JK触发器按Q n+1=Q n工作,可使JK触发器的输入端。

A、J=K=0 B、J=Q,K= C、J=,K=Q D、J=Q,K=0 (3)欲使JK触发器按Q n+1=0工作,可使JK触发器的输入端。 A、J=K=1 B、J=0,K=0 C、J=1,K=0 D、J=0,K=1 (4)欲使JK触发器按Q n+1=1工作,可使JK触发器的输入端。 A、J=K=1 B、J=1,K=0 C、J=K=0 D、J=0,K=1 三、判断题: (1)D触发器的特性方程为Q n+1=D,与Q 无关,所以它没有记忆功能。() n (2)同步触发器存在空翻现象,而边沿触发器和主从触发器克服了空翻。 () (3)主从JK触发器、边沿JK触发器和同步JK触发器的逻辑功能完全相同。() (8)同步RS触发器在时钟CP=0时,触发器的状态不改变( )。 (9)D触发器的特性方程为Q n+1=D,与Q n无关,所以它没有记忆功能( )。 (10)对于边沿JK触发器,在CP为高电平期间,当J=K=1时,状态会翻转一次( )。 四、填空题: (1)触发器有()个稳态,存储8位二进制信息要 ()个触发器。 (2)在一个CP脉冲作用下,引起触发器两次或多次翻转的现象称为触发器的(),触发方式为()式或()式的触发器不会出现这种现象。 (3)按逻辑功能分,触发器有()、()、()、()、()五种。 (4)触发器有()个稳定状态,当=0,=1时,称为()状态。 时序逻辑电路 一、单项选择题: (2)某512位串行输入串行输出右移寄存器,已知时钟频率为4MHZ,数据从输入端到达输出端被延迟多长时间? A、128μs B、256μs C、512μs D、1024μs (3)4个触发器构成的8421BCD码计数器共有()个无效状态。 A、6 B、8 C、10 D、4 (4)四位二进制计数器模为 A、小于16 B、等于16 C、大于16 D、等于10 (5)利用异步预置数端构成N进制加法计数器,若预置数据为0,则应将()所对应的状态译码后驱动控制端。 A、N B、N-1 C、N+1 (7)采用集成中规模加法计数器74LS161构成的电路如图所示,选择正确答案。 A、十进制加法计数器 B、十二进制加法计数器

第3章--组合逻辑电路习题解答

复习思考题 3-1 组合逻辑电路的特点? 从电路结构上看,组合电路只由逻辑门组成,不包含记忆元件,输出和输入之间无反馈。任意时刻的输出仅仅取决于该时刻的输入,而与电路原来的状态无关,即无记忆功能。 3-2 什么是半加?什么是全加?区别是什么? 若不考虑有来自低位的进位将两个1位二进制数相加,称为半加。两个同位的加数和来自低位的进位三者相加,称为全加。半加是两个1位二进制数相加,全加是三个1位二进制数相加。 3-3 编码器与译码器的工作特点? 编码器的工作特点:将输入的信号编成一个对应的二进制代码,某一时刻只能给一个信号编码。译码器的工作特点:是编码器的逆操作,将每个输入的二进制代码译成对应的输出电平。 3-4 用中规模组合电路实现组合逻辑函数是应注意什么问题? 中规模组合电路的输入与输出信号之间的关系已经被固化在芯片中,不能更改,因此用中规模组合电路实现组合逻辑函数时要对所用的中规模组合电路的产品功能十分熟悉,才能合理地使用。 3-5 什么是竞争-冒险?产生竞争-冒险的原因是什么?如何消除竞争-冒险? 在组合逻辑电路中,当输入信号改变状态时,输出端可能出现虚假信号----过渡干扰脉冲的现象,叫做竞争冒险。门电路的输入只要有两个信号同时向相反方向变化,这两个信号经过的路径不同,到达输入端的时间有差异,其输出端就可能出现干扰脉冲。消除竞争-冒险的方法有:接入滤波电容、引入选通脉冲、修改逻辑设计。 习 题 3-1试分析图3.55所示各组合逻辑电路的逻辑功能。 解: (a)图 (1) 由逻辑图逐级写出表达式:)()(D C B A Y ⊕⊕⊕= (2) 化简与变换:

令 D C Y B A Y ⊕=⊕=21 则 21Y Y Y ⊕= (3)由表达式列出真值表,见表3.1。 输入 中间变量 中间变量 输出 A B C D Y 1 Y 2 Y 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 1 0 0 1 1 0 0 1 0 1 1 0 (4)分析逻辑功能:由真值表可知,该电路所能完成的逻辑功能是:判断四个输入端输入1的情况,当输入奇数个1时,输出为1,否则输出为0。 (b)图 (1) 由逻辑图逐级写出表达式: B A B A Y ⊕⊕⊕=(2) 化简与变换:Y=1 由此可见,无论输入是什么状态,输出均为1 3-2 试分析图3.56所示各组合逻辑电路的逻辑功能,写出函数表达式。

数字电路与系统设计课后习题答案

. 1.1将下列各式写成按权展开式: (352.6)10=3×102+5×101+2×100+6×10-1 (101.101)2=1×22+1×20+1×2-1+1×2-3 (54.6)8=5×81+54×80+6×8-1 (13A.4F)16=1×162+3×161+10×160+4×16-1+15×16-2 1.2按十进制0~17的次序,列表填写出相应的二进制、八进制、十六进制数。 解:略 1.3二进制数00000000~11111111和0000000000~1111111111分别可以代表多少个数?解:分别代表28=256和210=1024个数。 1.4将下列个数分别转换成十进制数:(1111101000)2,(1750)8,(3E8)16 解:(1111101000)2=(1000)10 (1750)8=(1000)10 (3E8)16=(1000)10 1.5将下列各数分别转换为二进制数:(210)8,(136)10,(88)16 解:结果都为:(10001000)2 1.6将下列个数分别转换成八进制数:(111111)2,(63)10,(3F)16 解:结果都为(77)8 1.7将下列个数分别转换成十六进制数:(11111111)2,(377)8,(255)10 解:结果都为(FF)16 1.8转换下列各数,要求转换后保持原精度: 解:(1.125)10=(1.0010000000)10——小数点后至少取10位 (0010 1011 0010)2421BCD=(11111100)2 (0110.1010)余3循环BCD码=(1.1110)2 1.9用下列代码表示(123)10,(1011.01)2: 解:(1)8421BCD码: (123)10=(0001 0010 0011)8421BCD (1011.01)2=(11.25)10=(0001 0001.0010 0101)8421BCD

第4章 组合逻辑电路 课后答案

第4章 [题4.1].分析图P4.1电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 图P4.1 B Y AP 56 P P = 图P4.2 解:(1)逻辑表达式 ()()() 5623442344 232323232323 Y P P P P P CP P P P CP P P C CP P P P C C P P P P C P PC ===+=+=++=+ 2311P P BP AP BABAAB AB AB ===+ ()()()2323Y P P C P P C AB AB C AB ABC AB AB C AB AB C ABC ABC ABC ABC =+=+++=+++=+++ (2)真值表 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1和全为0时,Y =1,否则Y=0。 [题4.3] 分析图P4.3电路的逻辑功能,写出Y 1、、Y 2的逻辑函数式,列出真值表,指出电路完成什么逻辑功能。

图P4.3 B 1 Y 2 [解] 解: 2Y AB BC AC =++ 12 Y ABC A B C Y ABC A B C AB BC AC ABC ABC ABC ABC =+++=+++++=+++()()) B 、 C 为加数、被加数和低位的进位,Y 1为“和”,Y 2为“进位”。 [题4.4] 图P4.4是对十进制数9求补的集成电路CC14561的逻辑图,写出当COMP=1、Z=0、和COMP=0、Z=0时,Y 1~Y 4的逻辑式,列出真值表。

图P4.4 [解] (1)COMP=1、Z=0时,TG 1、TG 3、TG 5导通,TG 2、TG 4、TG 6关断。 3232211 , ,A A Y A Y A Y ⊕===, 4324A A A Y ++= (2)COMP=0、Z=0时, Y 1=A 1, Y 2=A 2, Y 3=A 3, Y 4=A 4。 COMP =0、Z=0的真值表从略。 [题4.5] 用与非门设计四变量的多数表决电路。当输入变量A 、B 、C 、D 有3个或3个以上为1时输出为1,输入为其他状态时输出为0。 [解] 题4.5的真值表如表A4.5所示,逻辑图如图A4.5(b)所示。

第5章 时序逻辑电路思考题与习题题解

思考题与习题题解 5-1填空题 (1)组合逻辑电路任何时刻的输出信号,与该时刻的输入信号有关;与电路原来所处的状态无关;时序逻辑电路任何时刻的输出信号,与该时刻的输入信号有关;与信号作用前电路原来所处的状态有关。 (2)构成一异步n2进制加法计数器需要 n 个触发器,一般将每个触发器接成计数或T’型触发器。计数脉冲输入端相连,高位触发器的 CP 端与邻低位Q端相连。 (3)一个4位移位寄存器,经过 4 个时钟脉冲CP后,4位串行输入数码全部存入寄存器;再经过 4 个时钟脉冲CP后可串行输出4位数码。 (4)要组成模15计数器,至少需要采用 4 个触发器。 5-2 判断题 (1)异步时序电路的各级触发器类型不同。(×)(2)把一个5进制计数器与一个10进制计数器串联可得到15进制计数器。(×)(3)具有 N 个独立的状态,计满 N 个计数脉冲后,状态能进入循环的时序电路,称之模N计数器。(√)(4)计数器的模是指构成计数器的触发器的个数。(×) 5-3 单项选择题 (1)下列电路中,不属于组合逻辑电路的是(D)。 A.编码器 B.译码器 C. 数据选择器 D. 计数器 (2)同步时序电路和异步时序电路比较,其差异在于后者( B )。 A.没有触发器 B.没有统一的时钟脉冲控制 C.没有稳定状态 D.输出只与内部状态有关 (3)在下列逻辑电路中,不是组合逻辑电路的有( D )。 A.译码器 B.编码器 C.全加器 D.寄存器 (4)某移位寄存器的时钟脉冲频率为100KHz,欲将存放在该寄存器中的数左移8位,完成该操作需要(B)时间。 A.10μS B.80μS C.100μS D.800ms (5)用二进制异步计数器从0做加法,计到十进制数178,则最少需要( C )个触发器。 A.6 B.7 C.8 D.10 (6)某数字钟需要一个分频器将32768Hz的脉冲转换为1HZ的脉冲,欲构成此分频器至少需要(B)个触发器。 A.10 B.15 C.32 D.32768 (7)一位8421BCD码计数器至少需要(B)个触发器。 A.3 B.4 C.5 D.10

相关主题
文本预览
相关文档 最新文档