当前位置:文档之家› 东南大学 电子系统设计实验指导书(FPGA基础篇)

东南大学 电子系统设计实验指导书(FPGA基础篇)

东南大学 电子系统设计实验指导书(FPGA基础篇)
东南大学 电子系统设计实验指导书(FPGA基础篇)

电子系统设计

实验指导书(FPGA基础篇)

东南大学

电子科学与工程学院

安全使用规范 (1)

实验一:组合逻辑电路设计(一) (2)

一、实验目的 (2)

二、实验内容 (2)

三、实验要求 (2)

四、实验步骤 (2)

五、实验结果 (5)

实验二:组合逻辑电路设计(二) (7)

一、实验目的 (7)

二、实验内容 (7)

三、实验要求 (7)

四、实验步骤 (7)

五、实验结果 (13)

实验三:时序逻辑电路设计(一) (17)

一、实验目的 (17)

二、实验内容 (17)

三、实验要求 (17)

四、实验步骤 (17)

五、实验结果 (22)

实验四:状态机 (24)

一、实验目的 (24)

二、实验内容 (24)

三、实验要求 (24)

四、实验步骤 (24)

安全使用规范

?无论何时,外部电源供电与USB两种供电方式只能用其中一种,避免因为电压有所差别而烧坏电路板。

?采用电压高于5.5V的任何电源连接器可能造成永久性的损害。

?插拔接插件前请关闭电路板总开关,否则易损坏器件。

?电路板应在绝缘平台上使用,否则可能引起电路板损坏。

?不同编码机制不要混接。

?安装设备需防止静电。

?液晶显示器件或模块结雾时,不要通电工作,防止电极化学反应,产生断线。

?遇到正负极连接时需谨慎,避免接反引起开发板的损坏。

?保持电路板的表面清洁。

?小心轻放,避免不必要的硬件损伤。

实验一:组合逻辑电路设计(一)

一、 实验目的

1. 熟悉ISE 14.6的编译环境;

2. 了解在ISE 14.6环境下运用Verilog HDL 语言的编程开发流程,包括源程序的输入、编译、模拟仿真

及程序下载。

二、 实验内容

1. 简单门电路的实现;

2. 三态门电路。

三、 实验要求

1. 在ISE 14.6环境下完成对电路工作情况的仿真模拟;

2. 完成配置程序的下载,并在实验板上对程序进行最终验证。

四、 实验步骤

1. 其他简单门电路的实现

在数字电路中,二输入或门电路、非门电路、与非门电路、或非门电路和异或门电路的逻辑表达式真值表如下所示:

下面分别给出这些门电路的行为描述方式(Behavioral )和逻辑寄存器传输描述方式(RTL )。不难看出,行为描述方式和逻辑表达式十分接近,而寄存器传输描述方式则是以真值表为依据进行编写的。 具体操作步骤如下:

(1) 利用向导,建立一个新项目,工程名为expe1,顶层文件名也指定为gate 。 (2) 新建一个Verilog HDL 文件。 (3) 在Verilog HDL 文件中输入程序:

行为描述方式源程序:

module gate(a,b,c1,c2,c3,c4,c5,c6); input a,b;

output c1,c2,c3,c4,c5,c6; assign c1=a&b;

==

=

=

assign c2=a|b;

assign c3=~a;

assign c4=~(a&b);

assign c5=~(a|b);

assign c6=a^b;

endmodule

寄存器传输描述方式源程序:

module gate(a,b,c1,c2,c3,c4,c5,c6);

input a,b;

output c1,c2,c3,c4,c5,c6;

reg c1,c2,c3,c4,c5,c6;

always@(a or b) begin

case({a,b})

2'b00:

begin

c1<=0;c2<=0;c3<=1;c4<=1;c5<=1;c6<=0;

end

2'b01:

begin

c1<=0;c2<=1;c3<=1;c4<=1;c5<=0;c6<=1;

end

2'b10:

begin

c1<=0;c2<=1;c3<=0;c4<=1;c5<=0;c6<=1;

end

2'b11:

begin

c1<=1;c2<=1;c3<=0;c4<=0;c5<=0;c6<=0;

end

default:

begin

c1<=0;c2<=0;c3<=0;c4<=0;c5<=0; c6<=0;

end

endcase

end

endmodule

(1)进行语法检查和综合编译。

(2)编写testbench,进行时序仿真。

图1.1 仿真结果

(3)分配管脚,生成*.bit文件。(管脚分配可参照后续的实验结果部分)

(4)下载。

2.三态门电路的实现

在数字电路中,三态门电路是在普通门电路的基础上附加控制电路构成的。顾名思义,三态门电路不但具有逻辑值0和逻辑值1,而且还具有高阻态输出的第三种状态(或称禁止态)。三态门主要用于可编程逻辑器件管脚的双向口设置,在后续实验中会涉及。三态门电路的逻辑电路图1.2。

图1.2

根据三态门的逻辑电路图和真值表,不难看出三态门电路的基本工作原理是:当控制端口的输入使能信号EN=’1’,那么直接将输入端口的数据送到输出端口上;当控制端口的输入使能信号EN=’0’,那么这时输出端口呈高阻状态。

具体操作步骤如下:

(1)利用向导,建立一个新项目,工程名为expe2,顶层文件名也指定为tri_gate。

(2)新建一个Verilog HDL文件。

(3)在Verilog HDL文件中输入程序:

module tri_gate(din,en,dout);

input din,en;

output dout;

reg dout;

always@(din or en) begin

if(en==1) dout<=din;

else dout<=1'bz;

end

endmodule

(4)进行语法检查和综合编译。

(5)进行时序仿真。

图1.3 仿真结果

(6)重新分配管脚,生成*.bit文件。(管脚分配可参照实验结果部分)

(7)下载。

五、实验结果

1.两输入与门电路

管脚分配表:

程序中管脚名实际管脚说明

a P11 拨动开关 SW0

b L3 拨动开关 SW1

c M5 LED0

实验结果对照表:

拨动开关 SW0 拨动开关 SW1 LED0

0 0 暗

0 1 暗

1 0 暗

1 1 亮2.二输入或门电路、非门电路、与非门电路、或非门电路和异或门电路管脚分配表:

程序中管脚名实际管脚说明

a P11 拨动开关 SW0

b L3 拨动开关 SW1

c1 M5 LED0

c2 M11 LED1

c3 P7 LED2

c4 P6 LED3

c5 N5 LED4

C6 N4 LED5 实验结果对照表:

拨动开关SW0 拨动

开关

SW1

LED

LED

1

LED

2

LED

3

LED

4

LED

5

0 0 暗暗亮亮亮暗

0 1 暗亮亮亮暗亮

1 0 暗亮暗亮暗亮1 1 亮亮暗暗暗暗

3.三态门电路

管脚分配表:

程序中管脚名实际管脚说明

din P11 拨动开关 SW0

en L3 拨动开关 SW1

dout M5 LED0

实验结果对照表:

拨动开关 SW0 拨动开关 SW1 LED0

X 0 暗

0 1 暗

1 1 亮

相关说明:

(1)本实验电路板中的LED灯共阴极连接应用,当输入高电平‘1’时,LED亮;(2)拨动开关靠近数字标称端输出为低‘0’。

实验二:组合逻辑电路设计(二)

一、实验目的

1.继续学习Verilog HDL基本语法;

2.巩固ISE 14.6环境下的Verilog HDL编程设计的基础。

二、实验内容

1.实现以下组合逻辑功能:编码/译码器,比较器,全加器。

三、实验要求

1.在PC机上完成相应的时序仿真,对结果进行分析;

2.完成下载,在实验板上对程序进行验证。

四、实验步骤

1.编码器的实现

编码器通常分为两大类:普通编码器和优先编码器。其中普通编码其就是对某一个给定时刻只能对一个输入信号进行编码的编码器,它的输入端口不允许同一时刻出现两个以上的有效输入信号;优先编码器就是对某一个给定时刻只对优先级最高的输入信号进行编码的编码器,它的输入端口允许多个输入信号同时有效。

现以八至三线编码器为例,介绍普通编码器的Verilog HDL语言程序设计。通常,八至三线编码器的逻辑电路符号如图2.1所示,真值表如表2.1所示。不难看出该编码器的工作原理为:编码器将对八个输入信号进行编码操作,然后以三位二进制码的形式输出,这里输入信号为低电平有效。

图2.1 八至三线编码器的电路符号

表2.1 八至三线编码器的真值表

具体操作过程如下:

(1)利用项目向导,建立一个新项目,建议工程名为expe3,顶层文件名指定为encoder8_3。(2)新建一个Verilog HDL文件,并输入源程序:

module encoder8_3(q,d);

input[7:0] d;

output[2:0] q;

reg[2:0] q;

always@(d)

begin

case(d)

8'b01111111: q<=3'b111;

8'b10111111: q<=3'b110;

8'b11011111: q<=3'b101;

8'b11101111: q<=3'b100;

8'b11110111: q<=3'b011;

8'b11111011: q<=3'b010;

8'b11111101: q<=3'b001;

8'b11111110: q<=3'b000;

default: q<=3'bzzz;

endcase

end

endmodule

a.对源程序进行语法检查并编译。

b.对项目进行时序逻辑仿真。

图2.2 仿真结果

c.分配管脚,生成*.bit文件。(管脚分配可参照实验结果部分)

d.下载。

在此优先编码器就不给出其代码了,仅以74LS148为例给出电路符号和真值表,请有兴趣的同学自己完成编程。真值表中“X”表示任意项。不难看出,当同时又几个输入信号有效时,编码器将输出由下级最高的那个输入信号所对应的二进制编码,这里D7的优先级最高并且是低电平有效。

图2.3 74LS148优先编码器的电路符号

表2.2 八至三线编码器的真值表

2. 译码器的实现

既然是译码器,首先想到的是输入数码和输出数码之间的对应关系,也就是说,“输入码和输出码之间的对应表”这应该是设计译码器的必须条件。常见的七段码译码器的电路符号如图2.4,真值表如表2.3。

c

d

e

f

g

b

DB

SEG

图2.4 七段码译码器电路符号

表2.3 七段码译码器的真值表

HDL 的语法中,使用“case”语句。

(1)利用项目向导,建立一个新项目,建议工程名为expe4,顶层文件名指定为encoder8_3。

(2)新建一个Verilog HDL文件,保存为converter。

源程序如下:

module converter(DB,SEG);

input[3:0] DB;

output[6:0] SEG;

reg[6:0] SEG;

always @(DB) begin

case(DB)

4'b0000: SEG<=7'b0000001;

4'b0001: SEG<=7'b1001111;

4'b0010: SEG<=7'b0010010;

4'b0011: SEG<=7'b0000110;

4'b0100: SEG<=7'b1001100;

4'b0101: SEG<=7'b0100100;

4'b0110: SEG<=7'b0100000;

4'b0111: SEG<=7'b0001111;

4'b1000: SEG<=7'b0000000;

4'b1001: SEG<=7'b0000100;

default: SEG<=7'b1111111;

endcase

end

endmodule

(3)进行语法检查和综合编译。

(4)进行时序仿真。

图2.5 仿真结果

(5)重新分配管脚,生成*.bit文件。(管脚分配可参照实验结果部分)

(6)下载到实验板,进行实际功能验证。

3.比较器的实现

数字比较器的设计,通常依据两组二进制数码的数值大小来进行比较,即a>b、a=b或a

图2.6 比较器电路符号

各引脚说明:A、B:皆为八位信号;CLK:时钟脉冲输入; RST:清除控制。

AGTB :当A>B时,其值为1,否则为0;

AEQB :当A=B时,其值为1,否则为0;

ALTB :当A

其操作过程同译码器的实现,这里不再赘述。注意顶层文件名一定要设为comp。

源程序如下:

module comp(CLK,RST,A,B,AGTB,ALTB,AEQB);

input CLK,RST;

input[2:0] A,B;

output AGTB,ALTB,AEQB;

reg AGTB,ALTB,AEQB;

always @(posedge CLK or posedge RST) begin

if(RST) begin

AGTB<=0;

AEQB<=0;

ALTB<=0;

end

else begin

if(A>B) begin

AGTB<=1;

AEQB<=0;

ALTB<=0;

end

else if(A==B) begin

AGTB<=0;

AEQB<=1;

ALTB<=0;

end

else begin

AGTB<=0;

AEQB<=0;

ALTB<=1;

end

end

end

endmodule

仿真结果如下:

图2.7 仿真结果

4.全加器的实现

全加器其实就是考虑到进位的加法器。一位全加器的电路符号如图2.8所示,真值表如表2.4所示。

图2.8 全加器电路符号

表2.7 一位全加器真值表

具体操作步骤不再一一给出,请参照译码器部分。这里仅给出三位全加器的源程序和仿真结果。

源程序如下:(三位全加器)

module ful_adder(cout,sum,a,b,cin);

input[3:0] a,b;

input cin;

output[3:0] sum;

output cout;

reg[3:0] sum;

reg cout;

always @(a or b or cin) begin

{cout,sum}=a+b+cin;

end

endmodule

仿真结果如下:

图2.9 仿真结果

五、实验结果

1.编码器

管脚分配如下表:

程序中管脚名实际管脚说明

D0 P11 拨动开关 SW0

D1 L3 拨动开关 SW1

D2 K3 拨动开关 SW2

D3 B4 拨动开关 SW3

D4 G3 拨动开关 SW4

D5 F3 拨动开关 SW5

D6 E2 拨动开关 SW6

D7 N3 拨动开关 SW7

Q0 M5 LED0

Q1 M11 LED1

Q2 P7 LED2

实验结果如下表: 拨动开关 SW0 拨动开关 SW1 拨动开关 SW2 拨动开关 SW3 拨动开关 SW4 拨动开关 SW5 拨动开关 SW6 拨动开关 SW7 LED 0 LED 1 LED 2

0 1 1 1 1 1 1 1 暗 暗 暗 1 0 1 1 1 1 1 1 暗 暗 亮 1 1 0 1 1 1 1 1 暗 亮 暗 1 1 1 0 1 1 1 1 暗 亮 亮 1 1 1 1 0 1 1 1 亮 暗 暗 1 1 1 1 1 0 1 1 亮 暗 亮 1 1 1 1 1 1 0 1 亮 亮 暗 1 1

1

1

1

1

1

(1)

七段码译码器

管脚分配如下表:

程序中管脚名 实际管脚 说明 DB(3) —> A3 B4 拨动开关 SW3 DB(2) —> A2 K3 拨动开关 SW2 DB(1) —> A1 L3 拨动开关 SW1 DB(0) —> A0 P11 拨动开关 SW0 程序中管脚名 实际管脚

七段码显示1

SEG(6) —> a L14 SEG(5) —> b H12 SEG(4) —> c N14 SEG(3) —> d N11 SEG(2) —> e P12 SEG(1) —> f L13 SEG(0) —> g

M12

实验结果如下表:

拨动开关 SW3

拨动开关 SW2

拨动开关 SW1

拨动开关 SW0

七段码显示

0 0 0 0 0 0 0 0 1 1 0 0 1 0 2 0 0 1 1 3 0 1 0 0 4 0

1

1

5

0 1 1 0 6

0 1 1 1 7

1 0 0 0 8

1 0 0 1 9 (2)比较器:

管脚分配如下表:

程序中管脚名实际管脚说明

CLK B8 全局时钟脚

A(2) P11 拨动开关 SW0

A(1) L3 拨动开关 SW1

A(0) K3 拨动开关 SW2

B(2) B4 拨动开关 SW3

B(1) G3 拨动开关 SW4

B(0) F3 拨动开关 SW5

RST E2 拨动开关 SW6

AGTB M5 LED0

AEQB M11 LED1

ALQB P7 LED2 实验结果如下表:

拨动开关

SW6 拨动开关

SW0-SW5

LED0 LED1 LED2

1 X 暗暗暗

0 开关[0~2]> 开

关[3~5]

亮暗暗

开关[0~2]> 开

关[3~5]

暗亮暗

开关[0~2]< 开

关[3~5]

暗暗亮

(3)全加器

管脚分配如下表:

程序中管脚

实际管脚说明

A(2) P11 拨动开关 SW0

A(1) L3 拨动开关 SW1

A(0) K3 拨动开关 SW2

B(2) B4 拨动开关 SW3

B(1) G3 拨动开关 SW4

B(0) F3 拨动开关 SW5

Cin E2 拨动开关 SW6 BCDout(2) M5 LED0

BCDout(1) M11 LED1

BCDout(0) P7 LED2 Cout P6 LED3

实验结果如下:

这里完成的是二进制加法:BCDout[2..0]=A[2..0]+B[2..0]+Cin;

另外,Cout为进位输出位。

请按照上述表达式,自己检验实验结果。

实验三:时序逻辑电路设计(一)

一、实验目的

1.理解触发器和计数器的概念,掌握这些时序器件的Verilog HDL语言程序设计的方法。

二、实验内容

1.触发器(D型);

2.计数器(递增、递减)。

三、实验要求

1.在ISE 14.6环境下进行时序仿真;

2.完成下载,在实验板上对程序进行验证,必要时可用示波器对波形进行观察。

四、实验步骤

1.D触发器的实现

在各种复杂的数字电路中,不但需要对输入信号进行算术运算和逻辑运算,还经常需要将这些信号和运算结果保存起来。因此,需要使用具有记忆功能的基本逻辑单元,能够存储一位信号的基本单元电路就被称为触发器。根据电路结构形式和控制方式的不同,可以将触发器分为D触发器、JK触发器、T触发器等等。这里只介绍常用的D型触发器,其他类型触发器请有兴趣的同学自己实现。

在数字电路中,D触发器是最为简单也是最为常用的一种基本时序逻辑电路,它是构成数字电路系统的基础。大体可分为如下几类:基本的D触发器;同步复位的D触发器;异步复位的D触发器;同步置位/复位的D触发器;异步置位/复位的D触发器。

下面先分别介绍各个D触发器的具体工作原理,然后再介绍具体操作步骤。

(1)基本的D触发器

在数字电路中,一个基本的上升沿D触发器的逻辑电路符号如图3.1所示,其功能表如表3.1所示。

根据下面的电路符号和功能表不难看出,一个基本的D触发器的工作原理为:当时中信号的上升沿到来时,输入端口D的数据将传递给输出端口Q和输出端口Q。在此,输出端口Q和输出端口Q 除了反相之外,其他特性都是相同的。

图3.1 电路符号

表3.1 D触发器的功能表

下面给出具体操作过程:

a.利用向导,建立一个新项目,工程名为expe3,顶层文件名指定为D;b.新建一个Verilog HDL文件,并输入源程序:

module D(

input d,

input clk,

output reg q,

output reg qd

);

always@(posedge clk)

begin

q <= d;

qd <= ~d;

end

endmodule

c.对源程序进行语法检查和编译;

d.进行时序仿真;

图3.2 时序仿真结果

(2)同步复位的D触发器

在数字电路中,一种常见的带有同步复位控制端口的上升沿D触发器的逻辑电路符号如图3.3所示,它的功能表如表3.2所示。不难看出,只有在时钟信号的上升沿到来并且复位控制端口的信号有效时,D触发器才进行复位操作,即将输出端口Q的值置为逻辑0,而把输出端口Q的值置为逻辑1。

图3.3 D触发器电路符号

表3.2 D触发器的功能表

源程序如下:

module sync_rddf(clk,reset,d,q,qb);

input clk,reset,d;

output q,qb;

reg q,qb;

always @(posedge clk) begin

if(!reset) begin

q<=0;

qb<=1;

end

else begin

q<=d;

电子系统设计 实验报告

本科生实验报告 实验课程电子系统设计 学院名称 专业名称测控技术与仪器 学生姓名 学生学号 指导教师 实验地点 实验成绩 二〇年月——二〇年月

实验一、运放应用电路设计 一、实验目的 (1)了解并运用NE555定时器或者其他电路,学会脉冲发生器的设计,认识了解各元器件的作用和用法。 (2)掌握运算放大器基本应用电路设计 二、实验要求 (1)使用555或其他电路设计一个脉冲发生器,并能满足以下要求:产生三角波V2,其峰峰值为4V,周期为0.5ms,允许T有±5%的误差。 V2/V +2 图1-1 三角波脉冲信号 (2)使用一片四运放芯片LM324设计所示电路,实现如下功能:设计加法器电路,实现V3=10V1+V2,V1是正弦波信号,峰峰值0.01v,频率10kHz。 V3 图1-2 加法电路原理

三、实验内容 1、555定时器的说明: NE555是属于555系列的计时IC的其中的一种型号,555系列IC的接脚功能及运用都是相容的,只是型号不同的因其价格不同其稳定度、省电、可产生的振荡频率也不大相同;而555是一个用途很广且相当普遍的计时IC,只需少数的电阻和电容,便可产生数位电路所需的各种不同频率的脉波讯号。 a. NE555的特点有: 1.只需简单的电阻器、电容器,即可完成特定的振荡延时作用。其延时范围极广,可由几微秒至几小时之久。 2.它的操作电源范围极大,可与TTL,CMOS等逻辑闸配合,也就是它的输出准位及输入触发准位,均能与这些逻辑系列的高、低态组合。 3.其输出端的供给电流大,可直接推动多种自动控制的负载。 4.它的计时精确度高、温度稳定度佳,且价格便宜。 b. NE555引脚位配置说明下: NE555接脚图: 图1-3 555定时器引脚图 Pin 1 (接地) -地线(或共同接地) ,通常被连接到电路共同接地。 Pin 2 (触发点) -这个脚位是触发NE555使其启动它的时间周期。触发信号上缘电压须大于2/3 VCC,下缘须低于1/3 VCC 。

电子系统综合设计与训练

电子系统综合设计与训练 指导书 编者:陈巍曾宪阳陆欣云 南京工程学院 工程基础实验与训练中心 前言:本课程是南京工程学院工程基础实验与训练中心系统集成专业、自动化学院相关专业课程体系与内容的教学改革的产物,是组成南京工程学院电工电子类专业技术基础课平台课程的课程之一,也是最重要的的一门实践性课程。其目的是通过以工程实践或社会生活为背景的综合电子系统的研究、设计与实现,使学生能将已学过的模拟电路、数字电路与EDA技术、微机原理、单片机、嵌入式系统等多门课程知识综合运用于电子系统的设计中,从而培养学生知识综合应用及电子系统设计的能力,这是在所有实践性课程中最具活力,最能培养学生的自主学习与实践能力、培养学生创新思维的课程之一。在教学中可以根据学生兴趣爱好及所在的各学科专业的实际要求,选择不同的实践课题。 授课方式:本课程是研讨型实践课程,采用教师授课、自主学习与研究、演讲与讨论、设计与实践、答辩与验收等多种教学方式。 课程学时:课内总学时120学时,其中:授课10学时,专题讲座10课时,讨论40课时,实践60学时(实践2课时作1学时);课内外学时比例:1:1 适合范围:电类专业本科生 先修课程:大学物理(含半导体器件物理)、电路分析基础、电子技术基础(模拟、数字)、单片机技术或嵌入式系统。 第一部分:模拟电子技术、数字电子技术混合设计项目项目一、正弦信号产生、转换计数显示电路的设计 【项目内容】 设计一个数模混合系统,包括信号的产生、转换、脉冲计数、译码、显示等。电路应实现的具体功能与技术指标如下: 1.基本要求 (1)设计一个正弦信号产生电路,要求输出信号频率可调。频率范围为500~1000Hz。

自动控制原理实验

自动控制原理实验 实验报告 实验三闭环电压控制系统研究 学号姓名 时间2014年10月21日 评定成绩审阅教师

实验三闭环电压控制系统研究 一、实验目的: (1)通过实例展示,认识自动控制系统的组成、功能及自动控制原理课程所要解决的问题。 (2)会正确实现闭环负反馈。 (3)通过开、闭环实验数据说明闭环控制效果。 二、预习与回答: (1)在实际控制系统调试时,如何正确实现负反馈闭环? 答:负反馈闭环,不是单纯的加减问题,它是通过增量法实现的,具体如下: 1.系统开环; 2.输入一个增或减的变化量; 3.相应的,反馈变化量会有增减; 4.若增大,也增大,则需用减法器; 5.若增大,减小,则需用加法器,即。 (2)你认为表格中加1KΩ载后,开环的电压值与闭环的电压值,哪个更接近2V? 答:闭环更接近。因为在开环系统下出现扰动时,系统前部分不会产生变化。故而系统不具有调节能力,对扰动的反应很大,也就会与2V相去甚远。 但在闭环系统下出现扰动时,由于有反馈的存在,扰动产生的影响会被反馈到输入端,系统就从输入部分产生了调整,经过调整后的电压值会与2V相差更小些。 因此,闭环的电压值更接近2V。 (3)学自动控制原理课程,在控制系统设计中主要设计哪一部份? 答:应当是系统的整体框架及误差调节部分。对于一个系统,功能部分是“被控对象”部分,这部分可由对应专业设计,反馈部分大多是传感器,因此可由传感器的专业设计,而自控原理关注的是系统整体的稳定性,因此,控制系统设计中心就要集中在整个系统的协调和误差调节环节。 二、实验原理: (1)利用各种实际物理装置(如电子装置、机械装置、化工装置等)在数学上的“相似性”,将各种实际物理装置从感兴趣的角度经过简化、并抽象成相同的数学形式。我们在设计控制系统时,不必研究每一种实际装置,而用几种“等价”的数学形式来表达、研究和设计。又由于人本身的自然属性,人对数学而言,不能直接感受它的自然物理属性,这给我们分析和设计带来了困难。所以,我们又用替代、模拟、仿真的形式把数学形式再变成“模拟实物”来研究。这样,就可以“秀才不出门,遍知天下事”。实际上,在后面的课程里,不同专业的学生将面对不同的实际物理对象,而“模拟实物”的实验方式可以做到举一反三,我们就是用下列“模拟实物”——电路系统,替代各种实际物理对象。

东南大学信息学院_系统实验(通信组)_第一次实验

信源编译码实验 抽样定理告诉我们:如果对某一带宽有限的模拟信号进行抽样,且抽样速率达到一定的数值时,那么根据这些抽样值就可以准确地还原信号。也就是说传输模拟信号的采样值就可以实现模拟信号的准确传输。电路图可以看出,抽样脉冲先对原始信号进行自然或者平顶抽样,将得到的抽样信号进行传输到接收端,接收端进行滤波即可恢复到原始波形,但是要注意,满足抽样脉冲的频率大于等于原始信号的两倍才可以准确恢复。 5.2自然抽样验证 各参数的设置如下: 信号类型频率幅度占空比 原始信号2000Hz20/ 抽样信号8000Hz/4/8 2K正弦波3K2K 1.5倍抽样脉冲

2K正弦波4K2K2倍抽样脉冲

2K正弦波8K2K4倍抽样脉冲

2K正弦波16K2K8倍抽样脉冲

当原始信号频率保持2k不变时,抽样脉冲的频率从3k到16k变化时,我们可以看出,当抽样脉冲频率小于4k取样信号的频谱发生混叠,无法准确的恢复出原始信号,但是当频率大于4k时将不会发生混叠,随着频率增大,恢复的越来越好。 1K三角波16K2K复杂信号恢复 1K三角波16K6K复杂信号恢复 对于三角波来说,三角波的频域是无限扩展的,所以一定要选取远大于奈奎斯特采样频率才可以较准确的恢复出原始信号,当然还会有混叠,所以无法真正的恢复出原始信号。从中可以看出,虽然恢复出了原始信号,但是仍有一定的失真。从频谱图也可以看出,出现一定的混叠。

5.3频谱混叠现象验证 设置原始信号为:“正弦”,1000hz,幅度为20;设置抽样脉冲:频率:8000hz,占空比:4/8(50%);恢复滤波器截止频率:2K 信号类型频率幅度占空比 原始信号1000Hz20/ 抽样信号8000Hz/4/8 使用示波器观测原始信号3P2,恢复后信号6P4。当3P2为6k时,记录恢复信号波形及频率;当3P2为7k时,记录恢复信号波形及频率;记录3P2为不同情况下,信号的波形,并分析原因,其是否发生频谱混叠? 原始信号恢复信号 6k2k 原始信号恢复信号 7k2K 当信号频率为6k、7kHz时,都超出抽样频率8k*1/2=4k,因此会发生

电子系统设计报告

课程设计实践报告 一、课程设计的性质、目的与作用 本次电子系统设计实践课程参照全国大学生电子设计模式,要求学生综合利用所学的有关知识,在教师的指导下,分析和熟悉已给题目,然后设计系统方案、画原理图及PCB、软件编程,并做出课程设计报告。因此,在设计中,要求学生应该全面考虑各个设计环节以及它们之间的相互联系,在设计思路上不框定和约束同学们的思维,同学们可以发挥自己的创造性,有所发挥,并力求设计方案凝练可行、思路独特、效果良好。 本课程设计的目的是为了让学生能够全面了解电子电路应用系统的整个设计过程,逐步掌握系统开发的以下相关技术: (1)熟悉系统设计概念; (2)利用所学数电、模拟电路知识,设计电路图; (3)利用PROTEL软件画原理图及PCB; (4)熟悉系统项目设计报告填写知识; (5)培养团队合作意识。 通过本课程设计,有助于学生更好地了解整个课程的知识体系,锻炼学生实际设计能力、分析和思考能力,使其理论与实践相结合,从而为后续课程的学习、毕业设计环节以及将来的实际工作打好坚实的基础。 二、课程设计的具体内容 电子系统设计实践课程就是锻炼学生系统设计、分析和思考能力,全面运用课程所学知识,发挥自己的创造性,全面提高系统及电路设计、原理图及PCB 绘画等硬件水平和实际应用能力,从而体现出电子系统设计的真谛。下面是各个设计阶段的具体内容。 1.系统方案认识 根据所设定的题目,能够给出系统设计方案与思路

题目:信号发生器产生电路,请设计一个能产生正弦波、方波及三角波电路,并制作原理图,然后阐述其原理。 基本原理: 系统框图如图1所示。 图1 低频信号发生器系统框图 低频信号发生器系统主要由CPU、D/A转换电路、基准电压电路、电流/电 压转换电路、按键和波形指示电路、电源等电路组成。 其工作原理为当分别按下四个按键中的任一个按键就会分别出现方波、锯齿 波、三角波、正弦波,并且有四个发光二极管分别作为不同的波形指示灯。2、各部分电路原理 (1)DAC0832芯片原理 ①管脚功能介绍(如图5所示) 图5 DAC0832管脚图 1) DI7~DI0:8位的数据输入端,DI7为最高位。

电机实验报告东南大学自动化

东南大学 电机实验报告 姓名:学号: 专业:自动化 组员: 时间:2014年6月

实验一、二电器控制(一、二) 一、实验目的 1、了解接触器、按扭等元件的功能特点,掌握其工作原理及接线方法; 2、学会使用接触器、按钮组合控制风扇开关。 二、实验原理 1. 接触器型号划分 在电工学上。接触器是一种用来接通或断开带负载的交直流主电路或大容量控制电路的自动化切换器,主要控制对象是电动机,此外也用于其他电力负载,如电热器,电焊机,照明设备,接触器不仅能接通和切断电路,而且还具有低电压释放保护作用/。接触器控制容量大。适用于频繁操作和远距离控制。是自动控制系统 中的重要元件之一。通用接触器可大致分以下两类。 (1)交流接触器。主要由电磁机构、触头系统、灭弧装置等组成。常用的是CJ10、CJ12、CJ12B等系列。 (2)直流接触器。一般用于控制直流电器设备,线圈中通以直流电,直流接触器的动作原理和结构基本上与交流接触器是相同的。 但现在接触器的型号都重新划分了。都是AC系列的了。 AC-1类接触器是用来控制无感或微感电路的。 AC--2类接触器是用来控制绕线式异步电动机的启动和分断的。 AC-3和AC--4接触器可用于频繁控制异步电动机的启动和分断。 2. 交流接触器(CJX1-12) 实验室所用的是交流接触器(CJX1-12)如下图所示

铭牌如下 工作原理 当线圈通电时,静铁芯产生电磁吸力,将动铁芯吸合,由于触头系统是与动铁芯联动的,因此动铁芯带动三条动触片同时运行,触点闭合,从而接通电源。当线圈断电时,吸力消失, 动铁芯联动部分依靠弹簧的反作用力而分离,使主触头断开,切断电源。 使用接法 1、一般三相接触器一共有8个点,三路输入,三路输出,还有是控制点两个。输出和输入是对应的,很容易能看出来。如果要加自锁的话,则还需要从输出点的一个端子将线接到控制点上面。 2、首先应该知道交流接触器的原理。他是用外界电源来加在线圈上,产生电磁场。加电吸合,断电后接触点就断开。知道原理后,外加电源的接点,也就是线圈的两个接点,一般在接触器的下部,并且各在一边。其他的几路输入和输出一般在上部。还要注意外加电源的电压是多少(220V或380V),一般都标得有。并且注意接触点是常闭还是常开。

第一次实验东南大学-控制技术与系统实验报告

东南大学 控制技术与系统 可编程控制器实验 姓名:张子龙组员:焦越 学号:22013126 指导教师:朱利丰实验日期:2016 年11月9日

第一章基本实验 实验一基本操作与基本指令实验 一、实验目的 1.熟悉可编程控制器的外部结构 2.熟悉可编程控制器试验箱的结构和使用方法 3.掌握可编程控制器的使用 4.了解基本指令的编程 二、实验器材 1.可编程控制器实验箱 2.计算机 3.编程电缆 4.连接导线 三、实验设备及编程软件介绍(略) 四、实验内容及步骤 1.两层楼道灯PLC控制实验 注意:接线前请关闭电源,接完线检查正确后再打开电源;实验结束,拔线前请关闭电源。按图1-19所示接线。输入X2、X3分别接实验箱上的按钮0#、1#;输出Y1接线实验箱上的指示灯0#、1#。 输入、执行表1-1中的程序,操作按钮0#、1#,观察输出,并记录结果。

实验结果:当0#和1#按钮状态相同时,灯亮,输出1;当0#和1#按钮状态不相同时,灯灭,输出0。 2.基本指令实验 根据下面的梯形图,将输入X0-X3分别连接到试验箱模拟开关0#--3#。 输入、执行程序,分别设定模拟开关为ON或OFF,观察PLC输出结果,并分别填入对应的操作结果表中。

3.组合电路的PLC编程实验 有些厂家生产的PLC编程器可采用逻辑控制图编程,如图1-20所示。 Y0、Y1输出分别对应的梯形图及指令表如下: 将X0~X5连接到实验箱模拟开关0#~5#。输入、执行程序,验证下面关系。 ①对于Y0输出:若X5为1,不论X0、X1、X2、X3、X4为何值,Y0均为1;若X5为0时,只有X3或X4为1,X0、X1均为1,X2为0 ,Y0才能输出1。 ②对于Y1输出:X4为0 ,X0或X1为1,X2为0 或X3为1,Y1才能输出1。 实验结果:

电子系统设计专题实验

电子系统设计专题实验报告 ——AVR 单片机基础实验 学 院: 电信学院 班 级: 计算机14 学 号: 2110505092 姓 名: 刘鑫

一、实验目的和要求 本实验课程的主要目的是通过一个新型嵌入式单片机为核心的应用系统设计,掌握微型计算机硬件系统结构基本原理,软件开发编程方法,外围接口电路的组成和应用编程技术,以及电子系统设计的相关技术。通过课程实践训练,能够独立实现一个完整的计算机应用系统设计。 要求基本实验部分学习单片机系统的基本硬件组成原理和软件程序设计方法;综合设计实验要求根据题目需求自行设计系统硬件组成电路,并设计实现完成相应功能的应用程序调试任务。 二、实验设备及开发环境 以AVR ATmega128单片机为核心的实验开发系统。实验开发板采用技术性能优良的AVR ATmega128单片机作为核心器件,还特别设计了USB接口模块、Ethernet网络接口模块,还有MCU对外扩插槽,可为电路扩展模块提供必要的准备。 AVR单片机实验开发系统实验测试环境: 1.软件开发平台: PC机WindowsXP操作系统; AVR Studio 4.16 集成开发软件; WinAVR 20080610 C语言编译器; 2.下载编程工具: JTAG ICE mkII在线仿真器; 3.测试目标板: ATmega128实验开发板; 4.测试程序:用C语言编写电路功能测试程序,在WinAVR(GCC)+ AVR Studio编译下通过。 三、实验设计题目及实现的功能 实验一:单片机实验系统开发环境学习 1. 熟悉实验电路的结构原理、元器件名称、作用及相应的接口连接; 2. 学会使用C编译器编辑、编译、调试简单C源程序; 3. 学会使用AVR Studio集成开发软件下载调试并得到正确结果; 4. 熟悉蜂鸣器电路的编程原理 实验程序源代码: #include // I/O端口寄存器配置文件,必须包含 #include // 延时函数调用文件 int main(void) // GCC中main文件必须为返回整形值的函数,没有 // 参数 { PORTE = 0X80; // PORTE输出高电平,使蜂鸣器不响 DDRE = 0X08; // 配置端口PE3为输出口 while(1) { PORTE &= ~(1 << PE3); //PE3置“0”,但是这种设置方法不改变PE口其余位 //的状态,平时程序中推荐这种使用方法 _delay_ms(100); // 延时100毫秒 _delay_ms(100); _delay_ms(100);

电子系统综合设计实验报告

电子系统综合设计实验报告 所选课题:±15V直流双路可调电源 学院:信息科学与工程学院 专业班级: 学号: 学生姓名: 指导教师: 2016年06月

摘要本次设计本来是要做±15V直流双路可调电源的,但由于买不到规格为±18V的变压器,只有±15V大小的变压器,所以最后输出结果会较原本预期要小。本设计主要采用三端稳压电路设计直流稳压电源来达到双路可调的要求。最后实物模型的输出电压在±13左右波动。 1、任务需求 ⑴有+15V和-15V两路输出,误差不超过上下1.5V。(但在本次设计中,没有所需变压器,所以只能到±12.5V) ⑵在保证正常稳压的前提下,尽量减小功效。 ⑶做出实物并且可调满足需求 2、提出方案 直流可变稳压电源一般由整流变压器,整流电路,滤波器和稳压环节组成如下图a所示。 ⑴单相桥式整流 作用之后的输出波形图如下:

⑵电容滤波 作用之后的输出波形图如下: ⑶可调式三端集成稳压器是指输出电压可以连续调节的稳压器,有输出正电压的LM317三端稳压器;有输出负电压的LM337三端稳压器。在可调式三端集成稳压器中,稳压器的三个端是指输入端、输出端和调节端。 LM317的引脚图如下图所示:(LM337的2和3引脚作用与317相反)

3、详细电路图: 因为大容量电解电容C1,C2有一定的绕制电感分布电感,易引起自激振荡,形成高频干扰,所以稳压器的输入、输出端常并入瓷介质小容量电容C5,C6,C7,C8用来抵消电感效应,抑制高频干扰。 参数计算: 滤波电容计算: 变压器的次级线圈电压为15V ,当输出电流为0.5A 时,我们可以求得电路的负载为I =U /R=34Ω时,我们可以根据滤波电容的计算公式: C=т/R,来求滤波电容的取值范围,其中在电路频率为50HZ 的情况下,T 为20ms 则电容的取值范围大于600uF ,保险起见我们可以取标准值为2200uF 额定电压为50V 的点解电容。另外,由于实际电阻或电路

东南大学自控实验报告实验三闭环电压控制系统研究

东南大学自控实验报告实验三闭环电压控制系统研究

东南大学 《自动控制原理》 实验报告 实验名称:实验三闭环电压控制系统研究 院(系):专业: 姓名:学号: 实验室: 416 实验组别: 同组人员:实验时间:年 11月 24日评定成绩:审阅教师:

实验三闭环电压控制系统研究 一、实验目的: (1)经过实例展示,认识自动控制系统的组成、功能及自动控制原理课程所要解决的问题。 (2)会正确实现闭环负反馈。 (3)经过开、闭环实验数据说明闭环控制效果。 二、实验原理: (1)利用各种实际物理装置(如电子装置、机械装置、化工装置等)在数学上的“相似性”,将各种实际物理装置从感兴趣的角度经过简化、并抽象成相同的数学形式。我们在设计控制系统时,不必研究每一种实际装置,而用几种“等价”的数学形式来表示、研究和设计。又由于人本身的自然属性,人对数学而言,不能直接感受它的自然物理属性,这给我们分析和设计带来了困难。因此,我们又用替代、模拟、仿真的形式把数学形式再变成“模拟实物”来研究。这样,就能够“秀才不出门,遍知天下事”。实际上,在后面的课程里,不同专业的学生将面对不同的实际物理对象,而“模拟实物”的实验方式能够做到举一反三,我们就是用下列“模拟实物”——电路系统,替代各种实际物理对象。 (2)自动控制的根本是闭环,尽管有的系统不能直接感受到它的

闭环形式,如步进电机控制,专家系统等,从大局看,还是闭环。闭环控制能够带来想象不到的好处,本实验就是用开环和闭环在负载扰动下的实验数据,说明闭环控制效果。自动控制系统性能的优劣,其原因之一就是取决调节器的结构和算法的设计(本课程主要用串联调节、状态反馈),本实验为了简洁,采用单闭环、比例调节器K。经过实验证明:不同的K,对系性能产生不同的影响,以说明正确设计调节器算法的重要性。 (3)为了使实验有代表性,本实验采用三阶(高阶)系统。这样,当调节器K值过大时,控制系统会产生典型的现象——振荡。本实验也能够认为是一个真实的电压控制系统。 三、实验设备: THBDC-1实验平台 四、实验线路图: 五、实验步骤: (1)如图接线,建议使用运算放大器U8、U10、U9、U11、U13。

自动控制实验报告1

东南大学自动控制实验室 实验报告 课程名称:自动控制原理 实验名称:闭环电压控制系统研究 院(系):仪器科学与工程专业:测控技术与仪器姓名:学号: 实验室:常州楼五楼实验组别:/ 同组人员:实验时间:2018/10/17 评定成绩:审阅教师: 实验三闭环电压控制系统研究

一、实验目的: (1)通过实例展示,认识自动控制系统的组成、功能。 (2)会正确实现闭环负反馈。 (3)通过开、闭环实验数据说明闭环控制效果。 二、实验原理: (1)利用各种实际物理装置(如电子装置、机械装置、化工装置等)在数学上的“相似性”,将各种实际物理装置从感兴趣的角度经过简化、并抽象成相同的数学形式。我们在设计控制系统时,不必研究每一种实际装置,而用几种“等价”的数学形式来表达、研究和设计。又由于人本身的自然属性,人对数学而言,不能直接感受它的自然物理属性,这给我们分析和设计带来了困难。所以,我们又用替代、模拟、仿真的形式把数学形式再变成“模拟实物”来研究。这样,就可以“秀才不出门,遍知天下事”。实际上,在后面的课程里,不同专业的学生将面对不同的实际物理对象,而“模拟实物”的实验方式可以做到举一反三,我们就是用下列“模拟实物”——电路系统,替代各种实际物理对象。 (2)自动控制的根本是闭环,尽管有的系统不能直接感受到它的闭环形式,如步进电机控制,专家系统等,从大局看,还是闭环。闭环控制可以带来想象不到的好处,本实验就是用开环和闭环在负载扰动下的实验数据,说明闭环控制效果。自动控制系统性能的优劣,其原因之一就是取决调节器的结构和算法的设计(本课程主要用串联调节、状态反馈),本实验为了简洁,采用单闭环、比例调节器K。通过实验证明:不同的K,对系性能产生不同的影响,以说明正确设计调节器算法的重要性。 (3)为了使实验有代表性,本实验采用三阶(高阶)系统。这样,当调节器K值过大时,控制系统会产生典型的现象——振荡。本实验也可以认为是一个真实的电压控制系统。 三、实验设备: THBDC-1实验平台 四、实验线路图: 五、实验步骤:

030741001《电子系统设计》课程教学大纲2010计划

《电子系统设计》课程教学大纲 课程代码:030741001 课程英文名称:Electronic system design 课程总学时:48 讲课:32 实验:16 上机:0 适用专业:电子信息科学与技术专业 大纲编写(修订)时间:2011.5 一、大纲使用说明 (一)课程的地位及教学目标 电子系统设计是电子信息科学与技术专业本科生的必修专业课之一,通过课程了解并掌握电子系统的基本构成、电子设计单元电路,特别是掌握基于单片机、CPLD、FPGA的设计方法,提高学生的综合素质,培养创新精神。 通过本课程的学习,学生将达到以下要求: 1.掌握电子系统方案设计的基本原理和方法,应用方案比较,方案论证,工作原理考核,测试方案论证,测试仪器选择,数据分析,系统总结等方法进行系统整体方案设计; 2.具有设计单元电路的能力; 3. 具有运用相关电子设计工具软件的应用能力,能使用相应软件进行实例设计; 4.具有基于硬件平台进行电子系统综合调试的能力,能够实现某些基本功能; 5.了解电子系统的最新技术和发展方向。 (二)知识、能力及技能方面的基本要求 1.基本知识:掌握电子系统设计的基本思想、原理、方法。 2.基本理论和方法:掌握包括电源设计、键盘输入、显示输出等基本电路,掌握应用单片机、CPLD、FPGA进行系统设计的基本原理和方法。 3.基本技能: 能够应用单片机、CPLD、FPGA为核心芯片进行简单系统的设计。 (三)实施说明 1.教学方法:课堂讲授中要重点对基本概念、基本方法的讲解;采用启发式教学,培养学生思考问题、分析问题和解决问题的能力;引导和鼓励学生通过实践和自学获取知识,培养学生的自学能力;增加讨论课,调动学生学习的主观能动性;讲课要联系实际并注重培养学生的创新能力,重点应放在提高工程应用的训练上。 2.教学手段:本课程属于应用技术类的专业课,教学内容中设计大量的电路设计和程序设计。在教学中应结合实际,如真实的电子器件、开发板等实物进行讲解以增加学生的感性认识,对程序设计调试等内容采用多媒体教学,以确保在有限的学时内,全面、高质量地完成课程教学任务。 (四)对先修课的要求 本课程的教学必须在完成先修课程之后进行。本课程主要的先修课程有模拟电子技术A、数字电子技术A、单片机、数字系统与VHDL。本课程将为毕业设计的学习打下良好基础。 (五)对习题课、实践环节的要求 1.对重点、难点章节应安课堂演示,结合开发板等进行现场调试等,例题的选择以培养学生消化和巩固所学知识,用以解决实际问题为目的。 2.课后作业要少而精,内容以查资料、进行实际电路设计为主,并针对学生的典型设计进行课堂讲解和讨论,分析不同设计的差别和优缺点,对设计方法要鼓励多样化。学生必须独立、按时完成课外习题和作业,作业的完成情况应作为评定课程成绩的一部分。

自动检测技术实验一

东南大学自动化学院 实验报告课程名称:检测技术 第1 次实验

实验名称:实验一、三、五、八、九 院(系):自动化专业:自动化 :学号: 实验室:实验组别: 同组人员:实验时间:2013 年11月16日 评定成绩:审阅教师: 实验一金属箔式应变片——单臂电桥性能实验一、基本原理 电阻丝在外力作用下发生机械变形时,其电阻值发生变化,这就是电阻应变效应。 描述电阻应变效应的关系式为:ΔR/R=Kε式中:ΔR/R 为电阻丝电阻相对变化,K 为应变灵敏系数,ε=ΔL/L为电阻丝长度相对变化。 金属箔式应变片就是通过光刻、腐蚀等工艺制成的应变敏感元件,通过它反映被测部位受力状态的变化。电桥的作用是完成电阻到电压的比例变化,电桥的输出电压反映了相应的受力状态。单臂电桥输出电压Uo1= EKε/4。 二、实验器材及连线 主机箱(±4V、±15V、电压表)、应变传感器实验模板、托盘、砝码、万用表、导线等。

图2-1 应变式传感器安装示意图 图2-2 应变传感器实验模板、接线示意图图2-3 单臂电桥工作原理图 三、实验步骤 1、根据图2-3 工作原理图、图2-2 接线示意图安装接线。 2、放大器输出调零 将实验模板上放大器的两输入端口引线暂时脱开,再用导线将两输入端短接(Vi=0);调节放大器的增益电位器RW3 大约到中间位置(先逆时针旋到底,再顺时针旋转2 圈);将主机箱电压表的量程切换开关打到2V 档,合上主机箱电源开关;调节实验模板放大器的调零电位器RW4,使电压表显示为零。 3、电桥调零

拆去放大器输入端口的短接线,将暂时脱开的引线复原。调节实验模板上的桥路平衡电位器RW1,使电压表显示为零。 4、应变片单臂电桥实验 在应变传感器的托盘上放置一只砝码,读取数显表数值,依次增加砝码和读取相应的数显表值,直到200g(或500 g)砝码加完。实验结果填入表2-1,画出实验曲线。 表2-1 重量(g) 20 40 60 80 100 120 140 160 180 200 电压(mv) 15.2 30.5 45.9 61.5 77.0 92.4 108.0 132.8 148.3 163.9 拟合方程为:0.834 4.1933 U W =?- 重量20 40 60 80 100 120 140 160 180 200

东南大学系统实验报告

实验八:抽样定理实验(PAM ) 一. 实验目的: 1. 掌握抽样定理的概念 2. 掌握模拟信号抽样与还原的原理和实现方法。 3. 了解模拟信号抽样过程的频谱 二. 实验内容: 1. 采用不同频率的方波对同一模拟信号抽样并还原,观测并比较抽样信号及还原信号的波形和频谱。 2. 采用同一频率但不同占空比的方波对同一模拟信号抽样并还原,观测并比较抽样信号及还原信号的波形和频谱 三. 实验步骤: 1. 将信号源模块、模拟信号数字化模块小心地固定在主机箱中,确保电源接触良好。 2. 插上电源线,打开主机箱右侧的交流开关,在分别按下两个模块中的电源开关,对应的发光二极管灯亮,两个模块均开始工作。 3. 信号源模块调节“2K 调幅”旋转电位器,是“2K 正弦基波”输出幅度为3V 左右。 4. 实验连线 5. 不同频率方波抽样 6. 同频率但不同占空比方波抽样 7. 模拟语音信号抽样与还原 四. 实验现象及结果分析: 1. 固定占空比为50%的、不同频率的方波抽样的输出时域波形和频谱: (1) 抽样方波频率为4KHz 的“PAM 输出点”时域波形: 抽样方波频率为4KHz 时的频谱: 50K …… …… PAM 输出波形 输入波形

分析: 理想抽样时,此处的抽样方波为抽样脉冲,则理想抽样下的抽样信号的频谱应该是无穷多个原信号频谱的叠加,周期为抽样频率;但是由于实际中难以实现理想抽样,即抽样方波存在占空比(其频谱是一个Sa()函数),对抽样频谱存在影响,所以实际中的抽样信号频谱随着频率的增大幅度上整体呈现减小的趋势,如上面实验频谱所示。仔细观察上图可发现,某些高频分量大于低频分量,这是由于采样频率为4KHz ,正好等于奈奎斯特采样频率,频谱会在某些地方产生混叠。 (2) 抽样方波频率为8KHz 时的“PAM 输出点”时域波形: 2KHz 6K 10K 14K 输入波形 PAM 输出波形

电子科技大学 实验设计方法 实验报告

电子科技大学 实 验 报 告 学生姓名:黎超群 学号: 20 指导教师:王守绪、何为 日期: 2014年5月13日

一、实验室名称: 211大楼 二、实验项目名称: 统计分析应用软件在优化试验设计中的应用 三、实验原理: 统计分析应用软件可以应用在优化试验设计中以简化运算,提高工作效率 四、实验目的: 1. 掌握“正交助手”应用软件在正交试验统计分析法中的应用 2. 熟悉Minitab、DPS统计分析应用软件在多元回归分析中的应用 3. 熟悉“均匀设计”应用软件在均匀试验设计以及分析方法中的应用 4. 加深对理论教学知识的理解 5. 更深刻理解试验设计方法在实际工作中的应用 五、实验内容: 1、用“正交设计助手”进行正交实验的极差分析和方差分析 2、用“正交设计助手”处理带交互作用的正交试验问题 3、minitab进行正交实验的方差分析 4、minitab处理多元回归分析问题 5、“均匀设计”软件解决均匀设计问题的一般流程 6、用DPS数据处理系统处理正交实验及回归分析 六、实验器材(设备、元器件): 计算机、正交设计助手软件、Minitab软件、均匀设计软件、DPS数据处理系统

七、实验步骤: Ⅰ. 用“正交设计助手”进行正交实验的极差分析和方差分析 1.点击文件→新建工程→右击未命名工程→修改工程→键入用户名→点击实验 34)→再点→新建实验→填写实验名称和描述→点击旁边选项卡选择正交表(L 9 击“因素与水平”选项卡填写实验因素和水平(图1)→软件自动完成实验安排(图2)→填写实验结果(图3)→点击分析→“直观分析”得到极差分析结果(图4)→点击“因素指标”得到各因素二元图(图5)→点击“方差分析”→选择误差列为空白列得到方差分析结果(图6)→实验Ⅰ结束 图1 图2 图3 图4 图5 图6Ⅱ. 用“正交设计助手”处理带交互作用的正交试验问题 27)→填写因素、交互作点击新建实验→填写实验名称和描述→选择正交表(L 8 用和水平(图1)→软件自动安排实验(图2)→输入实验结果(图3)→点击“直观分析”得到极差分析结果(图4)→点击“交互作用”→选择发生交互作用的A、B得到交互作用表(图5)→点击“方差分析”得到方差分析结果(图6)

精选-东南大学信息学院_系统实验(通信组)_第二次实验

1.1.1 时分复用/解复用(TDM)实验 一、时分复接观测 (1).同步帧脉冲及复接时钟观测 帧脉冲宽度125us 一帧数据包含时钟数32 复接后时钟速率256k (2).复接后帧头观测 我们将帧头设置为01111110,帧头处于每帧的第一个时隙且帧同步的上升沿为帧的开始位置。观测结果如下: (3).复接后8bit数据观测 我们将帧头设置为00000000,8bit数据为01010101,位于帧的第三个时隙,观测如下:

二、时分解复接观测 (1).解复用同步帧脉冲观测 ●发送与接收端帧头一样时结果如下,此时可以实现同步。 ●拔掉复接数据结果如下,当不解复用信号时无法实现同步,因为没有输入信号。

两端帧头不同时结果如下,解复用端无法找到相对应的帧头,所以无法实现同步,它无法识别出与其不同的帧头。 (2).解复用后8bit数据观测 我们设置01010101,结果如下. 在不断修改原始信号的过程中,我们发现解复用的信号也随之同步变化 (3).解复用后PCM译码观测

(4).解复用后CVSD译码观测

1.1.2 帧同步实验 一、帧同步提取观测及分析 (1).假同步测试 当8bit数据与帧头相同时,由于多次重复完成复接信号输入与断开操作,导致解复用端时与真正的帧头实现同步,但也会与8bit实现同步,出现同步错误。(2).后方保护测量(捕捉态) 经过改变加错信号,我们测得后方保护计数个数为3. 后方保护可以防止误同步,经过连续几次检测到帧头才进入同步状态可以让同步更准确。 (3).前向保护测试(维持态) 经过改变加错信号,测得前向保护计数为2。 前向保护可以避免因一次传输错误而导致帧头出错而引起的同步出错。 当加错开关位置为“0001000100010001”时,帧提取情况如下: 信号恢复如下:

电子系统综合设计

数据采集系统的设计 中文摘要:数据采集系统,是用计算机控制的多路数据自动检测或巡回检测,并且能够对数据实行存储、处理、分析计算以及从检测的数据中提取可用的信息,供显示、记录、打印或描绘的系统。 本课程设计对数据采集系统作了基本的研究。本系统主要解决的是采集10路模拟量(10位精度),20路开关量,采集的数据每隔1毫秒,通过串行通讯方式RS485向一台工控机传送的实现方法。 关键词:数据采集、A/D转换、模拟量。数字量、串行通信 一、设计目的 1、综合运用所学相关课程的基础理论和基本知识,完成数据采集系统的设计。 2、学会PROTEUS电子设计软件使用。 3、掌握电子电路的测试方法,熟练应用电子工程领域相关仪器、仪表和设备对电路的技术指标进行测试。 二、设计内容 1、在PROTEUS电子设计平台,综合应用模拟电子技术、数字电子技术、单片机技术,完成数据采集系统电路设计与仿真。 2、在电子综合实训平台,选择电路模块,实现硬件验证。 3、在电子测试平台上,对主要技术参数进行测试。 三、主要仪器设备 1、电子综合实训系统。 2、PROTEUS电子设计软件。 3、万用表。 四、数据采集系统设计 1、数据采集系统方案 图 1 硬件设计总体框图

方案说明:数据采集系统即通过改变输入模拟信号来改变A\D转换后的值,进而改变现实模块的显示值。 2、电路设计 在PROTUES中选用的就要元件有AT89C51、ADC0809、7SEG-MPX4-CC-BLUE、CAP、CAP-ELEC、CRYSTAL、POT-HG、RES、RESPACK-8。 图2 数据采集系统设计原理图 电位信号是模拟信号通过模数转换器ADC089转换成数字信号,输送到AT89C51单片机 中,通过单片机的分析处理后经过数码显示出来,我们可以得到确切的信号数据。 五、程序设计 流程图如下: 图3 数据采集系统设计流程图

自动检测技术实验一

自动检测技术实验一-标准化文件发布号:(9456-EUATWK-MWUB-WUNN-INNUL-DDQTY-KII

东南大学自动化学院 实验报告课程名称:检测技术 第 1 次实验 实验名称:实验一、三、五、八、九 院(系):自动化专业:自动化 姓名:学号: 实验室:实验组别: 同组人员:实验时间:2013 年 11 月 16 日评定成绩:审阅教师:

实验一金属箔式应变片——单臂电桥性能实验一、基本原理 电阻丝在外力作用下发生机械变形时,其电阻值发生变化,这就是电阻应变效应。 描述电阻应变效应的关系式为:ΔR/R=Kε式中:ΔR/R 为电阻丝电阻相对变化,K 为应变灵敏系数,ε=ΔL/L为电阻丝长度相对变化。 金属箔式应变片就是通过光刻、腐蚀等工艺制成的应变敏感元件,通过它反映被测部位受力状态的变化。电桥的作用是完成电阻到电压的比例变化,电桥的输出电压反映了相应的受力状态。单臂电桥输出电压Uo1= EKε/4。 二、实验器材及连线 主机箱(±4V、±15V、电压表)、应变传感器实验模板、托盘、砝码、万用表、导线等。 图2-1 应变式传感器安装示意图

图2-2 应变传感器实验模板、接线示意图图2-3 单臂电桥工作原理图 三、实验步骤 1、根据图2-3 工作原理图、图2-2 接线示意图安装接线。 2、放大器输出调零 将实验模板上放大器的两输入端口引线暂时脱开,再用导线将两输入端短接(Vi =0);调节放大器的增益电位器RW3 大约到中间位置(先逆时针旋到底,再顺时针旋转2 圈);将主机箱电压表的量程切换开关打到2V 档,合上主机箱电源开关;调节实验模板放大器的调零电位器RW4,使电压表显示为零。 3、电桥调零 拆去放大器输入端口的短接线,将暂时脱开的引线复原。调节实验模板上的桥路平衡电位器RW1,使电压表显示为零。 4、应变片单臂电桥实验

东南大学实验动物中心屏障系统管理制度

东南大学实验动物中心屏障系统管理制度 第一章屏障内动物饲育管理操作规范 1、严格按人员、物品进出屏障设施的规定和要求操作,单向流动。 2、准备当天所需物品。(包括鼠笼、饮水、消毒液、饲料等) 3、按周计划表进行动物换笼、断乳、配种、卫生、消毒等工作;必须使用消毒液浸泡的镊子夹取动物。 4、每天记录饲育室内温、湿度等环境因素,出现异常,及时汇报。 5、及时更换饮水和添加饲料,不得出现断缺。 6、每天坚持检查母鼠生产、哺乳情况,及时调整哺乳仔数,观察动物生长状况及有无漏水等现象,做好卡片记录,工作日记和周报表。 7、日常工作完毕,应将室内物品摆放整齐,清扫地板,彻底擦拭消毒地面一遍。每周五还应对屏障内所有其它区域及物品进行擦拭消毒,之后用消毒液对屏障内所有区域进行喷雾消毒。 8、按规定开关照明灯、紫外灯。 第二章工作人员进出屏障设施的规定和要求 1、感冒、皮炎及各种病菌、病毒携带者禁止进入洁净区; 2、进入屏障区前,先换拖鞋,入更衣室,一切个人用品如钥匙、饰物、手表、眼镜、通讯工具等不得带入; 3、洗手时间不少于 1 分钟,完毕后入无菌更衣室,打开灭菌包用灭菌毛巾擦干后分别戴上口罩、帽子,穿上连体的灭菌服、鞋套、拖鞋,戴上消毒手套; 4、入风淋室风淋; 5、进入清洁走廊-内准备室(提取所需用品)-饲育室进行工作; 6、随手关门,严禁两扇门同时打开,以保证压差的维持; 7、工作完毕后,将废弃物品等随人从非清洁走廊退出。

第三章物品进出屏障设施的基本要求 1、凡是可以清洗的物品(如饲养盒、饮水瓶等),在消毒灭菌处理前必须进行彻底的清洗; 2、凡进入屏障设施内的一切物品,必须严格按照设计的流向路线进入。根据物品的性质可分别通过高压蒸汽灭菌器和传递窗两种不同的途径消毒灭菌后进入; 3、消毒灭菌后的物品分别从高压蒸汽灭菌器、传递窗的洁净操作侧取出,放在内准备室备用; 4、消毒灭菌过的物品贮存时间不宜过长,一般在七天内用完最好; 5、使用后废弃的物品和更换的笼具、饮水瓶等从污物走廊搬出。 第四章实验动物进出屏障设施的基本要求 1、采购和引进相应等级的动物时,应向国家规定的供种单位采购和引进; 2、必须明确购入动物的品种(系)、性别、体重、数量、级别,购入的时间及动物质量合格证、动物遗传背景资料等; 3、动物到达后,检查运输盒的密封情况,对照订货条件进行验收; 4、将运输盒外表面用消毒液彻底擦拭消毒,放入传递窗,喷洒2%过氧乙酸溶液,用紫外线灭菌灯照射后,在内准备室打开包装,将动物移入饲养盒内,在动物隔离室观察一周,未见异常后转入饲养室。 5、传出动物时,用已灭菌过的带过滤装置的专用运输盒装入动物后,封口胶布封严; 6、将传出动物的品种(系)性别、日龄、微生物等级等有关资料写在动物标签上,随人带出。 第五章东南大学实验动物中心SPF级实验室内部规章制度 一、SPF 级动物实验室组织结构 1、SPF级动物实验室由实验动物中心主任直接领导。 2、S PF 级实验室设置负责人 1 人,工作人员若干人。 3、SPF级动物实验室实行独立运转,有偿服务。所有帐目均通过中心财务收支。

电子系统设计论文

《电子系统设计》论文 班级: 姓名: 学号: 指导老师: 前言

本次电子系统设计实验是利用模拟电子技术、数字电子技术、单片机技术、可编程逻辑器件技术等完成一个或多个小型电子系统的设计和调试任务。主要是对单片机进行编程操作,编程的主要目的是使集成电路上集成的数码管显示对应的数字以及对按键的识别操作,最终通过编程的方式通过按键的选择控制数码管上显示数字的变化。小板编程可以熟悉并且进一步掌握汇编语言的编程过程及流程图的设计。 本实验的主控芯片的选择是8279和12887芯片。由于需要编程者可以对该芯片进行即时编程,实际实验的时候用到的是单片机仿真器,该仿真器内部存在有单片机及其最小系统电路,因此该仿真器可以完全替代单片机并接入集成电路板中,通过仿真器可以实现电脑与集成电路板的连接,以便于编程者随时修改程序并且可以随时观察到实现的实验现象。 一、通用键盘与显示器接口芯片8279 1、8279芯片的特点 8279芯片是一种通用可编程键盘/显示器接口电路芯片,它能完成监视键盘输入和显示控制两种功能。8279对键盘部分提供一种扫描工作方式,能对64个按键键盘阵列不断扫描,自动消抖,自动识别出闭合的键并得到键号,能对双键或N键同时按下进行处理。 显示部分为LED或其他显示器提供了按扫描方式工作的显示接口,可显示多达16位的字符或数字。另外,8279还具有以下功能及其特点: (1)、进行键盘扫描及文字显示; (2)、键盘扫描模式(Scanned Keyboard Mode); (3)、传感器扫描模式(Scanned Sensor Mode); (4)、激发输入模式(Strobe Input Entry Mode); (5)、8乘8键盘FIFO(先进先出); (6)、具有接点消除抖动,2键锁定及N键依此读出模式; (7)、双排8位数或双排16位数的显示器; (8)、右边进入或左边进入。16位显示示波器。 2、实验设计: (1)、设计程序使8279的数码管显示数字“”: 8279的数据口地址为7000H,将寄存器R0先存入数01H,讲R0的数据送入7000H,然后显示,并用时延保持,再使R0加1,再送入7000H,然后时延,同样方法重复8次即可存入8个数并显示在数码管上。 (2)、8279的16位按键显示: 使8279的一个数码管显示,按一次8279上的按键,比如“1”键,则在数码管上显示数字“1”,对应按键显示对应的数字或字母。过程为初始化以后,要读键盘,如果有按键,判断按的是哪个键,然后对应显示按键内容。按键要注意消抖。 显示器键码:0—22H 1—0AFH 2—31H 3—25H 4—0ACH 5—64H 6—60H 7—2FH 8—20H 9—2CH A—28H B—0E0H C—72H D—81H E—30H F—0E8H

相关主题
文本预览
相关文档 最新文档