当前位置:文档之家› 数字电路基本逻辑关系及其逻辑运算

数字电路基本逻辑关系及其逻辑运算

数字电路基本逻辑关系及其逻辑运算
数字电路基本逻辑关系及其逻辑运算

一:与逻辑和与运算

只有当决定某一种结果的所有条件都具备时,这个结果才能发生,我们把这种因果关系称为与逻辑关系,简称与逻辑。

与逻辑真值表

与逻辑运算规则

与逻辑符号

二:或逻辑和或运算

当决定某一结果的多个条件中,只要有一个或一个以上的条件具备,结果就发生,这种逻辑关系,就称为或逻辑关系,简称或逻辑。

逻辑表达式:

=A·B或 Y=AB

或逻辑真值表 或逻辑关系

或逻辑运算规则 或逻辑符号

或运算又称逻辑加。用逻辑式表示为: Y=A+B

三:非逻辑和非运算

如果条件与结果的状态总是相反,则这样的逻辑关系叫做非逻辑关系,简称非逻辑,或逻辑非。

非逻辑关系

非逻辑真值表

非运算的运算规则

1

0=

-

1=

-

非逻辑符号

逻辑表达式:

通常称A为原变量,A为反

变量。

A

Y=

复合运算

四:几种常用的复合逻辑运算

数字电路与逻辑设计

专升本《数字电路与逻辑设计》作业练习题6 解析与答案 一、单选题(选择最合适的答案) 1. 哪种逻辑门“只有在所有输入均为0时,输出才是1”? () A.或非门B.与非门C.异或门D.与或非门 答案:A 解析: 或非门 2.设两输入“与非”门的输入为x和y,输出为z,当z=1时,x和y的取值一定是() A. 至少有一个为1 B. 同时为1 C. 同时为0 D. 至少有一个为0 答案:D 解析: 与非逻辑 3. 两输入与非门输出为0时,输入应满足()。 A.两个同时为1 B.两个同时为0 C.两个互为相反D.两个中至少有一个为0 答案:A 解析:输入全为1 4. 异或门的两个输入为下列哪—种时,其输出为1? A.1,l B.0,1 C.0,0 D.以上都正确 答案:B 解析: 输入不同 5. 下列逻辑门中哪一种门的输出在任何条件下都可以并联使用?()A.具有推拉式输出的TTL与非门B.TTL集电级开路门(OC门) C.普通CMOS与非门D.CMOS三态输出门 答案:B 解析: A,C普通与非门不能并联使用; D三态输出门并联使用是有条件的:它们的使能端(控制端)必须反向,即只能有一个门处于非高阻态

ADABB 二、多选题(选择所有合适的答案) 用TTL 与非门、或非门实现反相器功能时,多余输入端应该( ) A .与非门的多余输入端应接低电平 B. 或非门的多余输入端应接低电平 C. 与非门的多余输入端应接高电平 D. 或非门的多余输入端应接低高平 答案:BC 解析: 多余输入端对与逻辑要接1,对或逻辑要接0 三、简答题 1. 分析如下两个由或非门、异或门、非门以及与非门构成的逻辑电路,请你:①写出F1和F2的逻辑表达式;②当输入变量A ,B 取何值时,两个电路等效? 答案:{ ① 根据图可写出两个电路的输出函数表达式分别为: 12()F A A B A A B A A B A A B A AB AB A A B F AB A B =⊕+=?++?+=??+++=+==+ = ②列出两个电路的真值表: 可见,无论A,B 取任何值,两个电路都等效。 }

数字电路与系统设计课后习题答案

(此文档为word格式,下载后您可任意编辑修改!) 1.1将下列各式写成按权展开式: (352.6)10=3×102+5×101+2×100+6×10-1 (101.101)2=1×22+1×20+1×2-1+1×2-3 (54.6)8=5×81+54×80+6×8-1 (13A.4F)16=1×162+3×161+10×160+4×16-1+15×16-2 1.2按十进制0~17的次序,列表填写出相应的二进制、八进制、十六进制数。 解:略 解:分别代表28=256和210=1024个数。 (1750)8=(1000)10 (3E8)16=(1000)10 1.5将下列各数分别转换为二进制数:(210)8,(136)10,(88)16 1.6将下列个数分别转换成八进制数:(111111)2,(63)10,(3F)16 解:结果都为(77)8 解:结果都为(FF)16 1.8转换下列各数,要求转换后保持原精度: (0110.1010)余3循环BCD码=(1.1110)2 1.9用下列代码表示(123)10,(1011.01)2: 解:(1)8421BCD码: (123)10=(0001 0010 0011)8421BCD (1011.01)2=(11.25)10=(0001 0001.0010 0101)8421BCD (2)余3 BCD码 (123)10=(0100 0101 0110)余3BCD (1011.01)2=(11.25)10=(0100 0100.0101 1000)余3BCD (1)按二进制运算规律求A+B,A-B,C×D,C÷D, (2)将A、B、C、D转换成十进制数后,求A+B,A-B,C×D,C÷D,并将结果与(1)进行比较。 A-B=(101011)2=(43)10 C÷D=(1110)2=(14)10 (2)A+B=(90)10+(47)10=(137)10 A-B=(90)10-(47)10=(43)10 C×D=(84)10×(6)10=(504)10 C÷D=(84)10÷(6)10=(14)10 两种算法结果相同。

数字电路(第二版)贾立新1数字逻辑基础习题解答

自我检测题 1.(26.125)10=(11010.001)2 =(1A.2)16 2.(100.9375)10=(1100100.1111)2 3.(1011111.01101)2=( 137.32 )8=(95.40625)10 4.(133.126)8=(5B.2B )16 5.(1011)2×(101)2=(110111)2 6.(486)10=(010*********)8421BCD =(011110111001)余3BCD 7.(5.14)10=(0101.00010100)8421BCD 8.(10010011)8421BCD =(93)10 9.基本逻辑运算有 与 、或、非3种。 10.两输入与非门输入为01时,输出为 1 。 11.两输入或非门输入为01时,输出为 0 。 12.逻辑变量和逻辑函数只有 0 和 1 两种取值,而且它们只是表示两种不同的逻辑状态。 13.当变量ABC 为100时,AB +BC = 0 ,(A +B )(A +C )=__1__。 14.描述逻辑函数各个变量取值组合和函数值对应关系的表格叫 真值表 。 15. 用与、或、非等运算表示函数中各个变量之间逻辑关系的代数式叫 逻辑表达式 。 16.根据 代入 规则可从B A AB +=可得到C B A ABC ++=。 17.写出函数Z =ABC +(A +BC )(A +C )的反函数Z =))(C A C B A C B A ++++)((。 18.逻辑函数表达式F =(A +B )(A +B +C )(AB +CD )+E ,则其对偶式F '= __(AB +ABC +(A +B )(C +D ))E 。 19.已知CD C B A F ++=)(,其对偶式F '=D C C B A +??+)(。 20.ABDE C ABC Y ++=的最简与-或式为Y =C AB +。 21.函数D B AB Y +=的最小项表达式为Y = ∑m (1,3,9,11,12,13,14,15)。 22.约束项是 不会出现 的变量取值所对应的最小项,其值总是等于0。 23.逻辑函数F (A ,B ,C )=∏M (1,3,4,6,7),则F (A ,B ,C )=∑m ( 0,2,5)。 24.VHDL 的基本描述语句包括 并行语句 和 顺序语句 。 25.VHDL 的并行语句在结构体中的执行是 并行 的,其执行方式与语句书写的顺序无关。 26.在VHDL 的各种并行语句之间,可以用 信号 来交换信息。 27.VHDL 的PROCESS (进程)语句是由 顺序语句 组成的,但其本身却是 并行语句 。 28.VHDL 顺序语句只能出现在 进程语句 内部,是按程序书写的顺序自上而下、一条一条地执行。 29.VHDL 的数据对象包括 常数 、 变量 和 信号 ,它们是用来存放各种类型数据

数字电路与逻辑设计试题与答案(试卷D)

《数字集成电路基础》试题D (考试时间:120分钟) 班级: 姓名: 学号: 成绩: 一、填空题(共30分) 1. 当PN 结外加正向电压时,PN 结中的多子______形成较大的正向电流。 2. NPN 型晶体三极管工作在饱和状态时,其发射结和集电结的外加电压分别处于 ______偏置和_______偏置。 3. 逻辑变量的异或表达式为:_____________________B A =⊕。 4. 二进制数A=1011010;B=10111,则A-B=_______。 5. 组合电路没有______功能,因此,它是由______组成。 6. 同步RS 触发器的特性方程为:Q n+1=______,其约束方程为:_____ _。 7. 将BCD 码翻译成十个对应输出信号的电路称为________,它有___ 个输入端,____输出端。 8. 下图所示电路中,Y 1 =______;Y =______;Y 3 =_____ 二、选择题(共 20分) 1. 四个触发器组成的环行计数器最多有____个有效状态。 A.4 B. 6 C. 8 D. 16 2. 逻辑函数D C B A F +=,其对偶函数F *为________。 A .()()D C B A ++ B. ()()D C B A ++ C. ()() D C B A ++ 1 A B 3

3. 用8421码表示的十进制数65,可以写成______。 A .65 B. [1000001]BCD C. [01100101]BCD D. [1000001]2 4. 用卡诺图化简逻辑函数时,若每个方格群尽可能选大,则在化简后的最简表达式 中 。 A .与项的个数少 B. 每个与项中含有的变量个数少 C. 化简结果具有唯一性 5. 已知某电路的真值表如下,该电路的逻辑表达式为 。 A .C Y = B. A B C Y = C .C AB Y += D .C C B Y += 三、化简下列逻辑函数,写出最简与或表达式:(共20分) 1. 证明等式:AB B A B A B A +?=+ 2. Y 2=Σm (0,1,2,3,4,5,8,10,11,12) 3. Y 3=ABC C AB C B A C B A +++?

数字电路知识点汇总精华版

数字电路知识点汇总(东南大学) 第1章 数字逻辑概论 一、进位计数制 1.十进制与二进制数的转换 2.二进制数与十进制数的转换 3.二进制数与16进制数的转换 二、基本逻辑门电路 第2章 逻辑代数 表示逻辑函数的方法,归纳起来有:真值表,函数表达式,卡诺图,逻辑图及波形图等几种。 一、逻辑代数的基本公式和常用公式 1)常量与变量的关系A+0=A与A=?1A A+1=1与00=?A A A +=1与A A ?=0 2)与普通代数相运算规律 a.交换律:A+B=B+A A B B A ?=? b.结合律:(A+B)+C=A+(B+C) )()(C B A C B A ??=?? c.分配律:)(C B A ??=+?B A C A ? ))()(C A B A C B A ++=?+) 3)逻辑函数的特殊规律 a.同一律:A+A+A

b.摩根定律:B A B A ?=+,B A B A +=? b.关于否定的性质A=A 二、逻辑函数的基本规则 代入规则 在任何一个逻辑等式中,如果将等式两边同时出现某一变量A的地方,都用一个函数L表示,则等式仍然成立,这个规则称为代入规则 例如:C B A C B A ⊕?+⊕? 可令L=C B ⊕ 则上式变成L A L A ?+?=C B A L A ⊕⊕=⊕ 三、逻辑函数的:——公式化简法 公式化简法就是利用逻辑函数的基本公式和常用公式化简逻辑函数,通常,我们将逻辑函数化简为最简的与—或表达式 1)合并项法: 利用A+1=+A A 或A B A B A =?=?,将二项合并为一项,合并时可消去一个变量 例如:L=B A C C B A C B A C B A =+=+)( 2)吸收法 利用公式A B A A =?+,消去多余的积项,根据代入规则B A ?可以是任何一个复杂的逻辑式 例如 化简函数L=E B D A AB ++ 解:先用摩根定理展开:AB =B A + 再用吸收法 L=E B D A AB ++

数字电路与数字逻辑练习题

一、填空 1. 数制变换: a) 将十进制数175转换成二进制数为_____ 、十六进制为_____ 、八进制为 __ 。 b) 二进制数(111010010)2对应的十六进制数是_____ 、八进制为—、十进制为 c) ( 16.52)8=( )2 =( )16= ( ) 10 d) ( 17)10=( ) 2 =( )16=( )8 2.编码: a) ( 1000)自然二进制码=( ) 余3码,(110100)2=( )BCD。 b) ( 15.5)10=( )8421BCH( )余3 BCD。 c) ( 38) 10用8421BCD码表示为 ____ 。 d) 二进制数(-100000)的原码为 _、补码为___。 e) [X]反=10111,则[X]补=—,[X]原= ___________ ,[X]真值= 。 g) [X]补=10110,则[X]反=—,[X]原= __ ,[X]真值= _ 。 3. 一种进位计数包含两个基本因素:______ 和____ 。 4. 常见的BCD编码中,有权码有____ 、___ ,无权码有___ 、___ 。 5. 如采用奇偶校验传送的数据部分为0111001,则所加奇校验位应为_____ ,偶校验位 应为_____ 。 6. 逻辑代数的基本运算有:___、___、___。 7. 当决定一事件的条件中,只要具备一个条件,事件就会发生,称这种关系为 逻辑关系,或称为关系。 8. ______________________________________________________ 真值表如下表,写出F1、F2、F3、F4的逻辑关系表达式______________________________ 9. _________________________________________ 逻辑函数F = A + AB以最小项形式表示为__________________________________________ ,可化简为______ 10.逻辑函数F =

数字电路与逻辑设计(人民邮电出版社)课后答案(邹红主编)

1-1将下列二进制数转换成等值的十进制数和十六进制数。 (1)(1101010.01)2; (3)(11.0101)2; (2)(111010100.011)2; (4)(0.00110101)2; 解:二进制数按位权展开求和可得等值的十进制数;利用进制为2k数之间的特点可以直接将二进制数转换为等值的十六进制数。 (1)(1101010.01)2=1×26+1×25+1×23+1×21+1×2-2 =(106.25)10=(6A.4)16 (2)(111010100.011)2=1×28+1×27+1×26+1×24+1×22+1×2-2+ 1×2-3=(468.375)10=(1D4.6)16 (3)(11.0101)2=1×21+1×20+1×2-2+1×2-4 =(3.3125)10=(3.5)16 (4)(0.00110101)2=1×2-3+1×2-4+1×2-6+1×2-8 =(0.20703125)10=(0.35)16 1-2将下列十进制数转换成等值的二进制数、八进制数和十六进制数。要求二进制数保留小数点后4位有效数字。 (1)(378.25)10; (3)(56.7)10; (2)(194.5)10; (4)(27.6)10; 解法1:先将十进制数转换成二进制数,再用进制为2k数之间的特点可以直接将二进制数转换为等值的八进制数和十六进制数。 (1)(378.25)10=(101111010.0100)2=(572.2)8=(17A.4)16 (2)(194.5)10=(11000010.1000)2=(302.4)8=(C2.8)16

(3)(56.7)10 =(111000.1011)2=(70.54)8=(38.B )16 (4)(27.6)10 =(11011.1001)2=(33.44)8=(1B.9)16 解法 2:直接由十进制数分别求二进制、八进制和十六进制数。由于二进制 数在解法 1 已求出,在此以(1)为例,仅求八进制数和十六进制数。

数字电路与数字逻辑练习题

《数字电路与数字逻辑》练习题一 一、填空 1.将下列二进制数转为十进制数 (1001011)B = ( )D (11.011)B = ( )D 2.将下列有符号的十进制数转换成相应的二进制数真值、原码、反码和补码 (+122)=( )真值 = ( )原码 =( )反码 = ( )补码 3.把下列4个不同数制的数D 、(110000)B 、(17A)H 、(67)O ( 按从大到小的 次 序 排 列 ( ) > ( )>( )>( ) 。将下列各式变换成最简与或式的形式 =+B AB ( ) =+AB A ( ) =++BC C A AB ( ) 4.将下列二进制数转为十进制数 (101000)B = ( )D (11.0101)B = ( )D 5.将下列十进制数转为二进制数,八进制数和十六进制数 (0.8125)= ( )B = ( )O = ( )H (254.25)= ( )B = ( )O = ( )H 6.将下列有符号的十进制数转换成相应的二进制数真值、原码、反码和补码 (+125)=( )真值 = ( )原码 =( )反码 = ( )补码 (—42)=( )真值 = ( )原码 =( )反码 = ( )补码 7.逻辑函数C A CD AB F ++=的对偶函数F '是__________________________;其反函数F 是_________________________。 8.当j i ≠时,同一逻辑函数的最小项=?j i m m _________;两个最大项

=+j i M M ___________。 9.()10=(_________)2=(_________)16。 10.n 个输入端的二进制译码器,共有_________个输出端,对于每一组输入代码,将有_________个输出端具有有效电平。 11.将下列二进制数转为十进制数 (1010001)B = ( )D (11.101)B = ( )D 12.将下列有符号的十进制数转换成相应的二进制数真值、原码、反码和补码 (+)=( )真值 = ( )原码 =( )反码 = ( )补码 13.把下列4个不同数制的数D 、(27A)H 、(10110)B 、(67)O 按从大到小的次序排列( )>( )>( )>( ) 。 14.对于D 触发器,欲使Qn+1=Qn ,输入D=( ),对于T 触发器,欲使Qn+1=Qn ,输入T=( ) 15.一个512*8位的ROM 芯片,地址线为( )条,数据线为( )条。 16.对32个地址进行译码,需要( )片74138译码器。 17.存储器起始地址为全0,256K*32的存储系统的最高地址为( )。 18.将下列各式变换成最简与或式的形式 ( ) ( ) ( ) 19.五级触发器的进位模数最大为( )进制。 20.十进制数()10转换成十六进制数是( ),转换成二进制数是( ),转换成八进制数是( ),转换成8421BCD 码为( )。 21.将二进制1100110转换成余3码为( ),转换成格雷码为( )。 22.设真值X= —0101,则X 的原码为( ),反码为( ),补码为( )。 23.卡诺图是( )的一种特殊形式。利用卡诺图法花剑逻辑函数比( )法更容易得到简化的逻辑函数表达式。 24.函数L=AC+BC 的对偶式为:( )。 25.一个1024*16位的ROM 芯片,地址线为( )位,数据线为( )位。 26.对于JK 触发器,若J=K ,可完成( )触发器的逻辑功能。 27.组合逻辑电路中部包含存储信号的( )元件,它一般是由各种( )组合而成的。 28.对64个地址进行译码,需要( )片74138译码器。 +AC 化成最小项的形式为( )。 30.将变换成或非的形式为( )。 31.数制转换 10=( )2 2=( )10 32.将下列有符号的十进制数转换成相应的二进制数真值﹑原码﹑反码和补码 (+11/32)=( )真值=( )原码 =( )反码=( )补码

数字电路与系统设计实验报告

数字电路与系统设计实验报告 学院: 班级: 姓名:

实验一基本逻辑门电路实验 一、实验目的 1、掌握TTL与非门、与或非门和异或门输入与输出之间的逻辑关系。 2、熟悉TTL中、小规模集成电路的外型、管脚和使用方法。 二、实验设备 1、二输入四与非门74LS00 1片 2、二输入四或非门74LS02 1片 3、二输入四异或门74LS86 1片 三、实验内容 1、测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。 2、测试二输入四或非门74LS02一个或非门的输入和输出之间的逻辑关系。 3、测试二输入四异或门74LS86一个异或门的输入和输出之间的逻辑关系。 四、实验方法 1、将器件的引脚7与实验台的“地(GND)”连接,将器件的引脚14与实验台的十5V连接。 2、用实验台的电平开关输出作为被测器件的输入。拨动开关,则改变器件的输入电平。 3、将被测器件的输出引脚与实验台上的电平指示灯(LED)连接。指示灯亮表示输出低电平(逻辑为0),指示灯灭表示输出高电平(逻辑为1)。 五、实验过程 1、测试74LS00逻辑关系 (1)接线图(图中K1、K2接电平开关输出端,LED0是电平指示灯) (2)真值表 2、测试74LS02逻辑关系

(1)接线图 (2)真值表 3、测试74LS86逻辑关系接线图 (1)接线图 (2)真值表 六、实验结论与体会 实验是要求实践能力的。在做实验的整个过程中,我们首先要学会独立思考,出现问题按照老师所给的步骤逐步检查,一般会检查处问题所在。实在检查不出来,可以请老师和同学帮忙。

实验二逻辑门控制电路实验 一、实验目的 1、掌握基本逻辑门的功能及验证方法。 2、掌握逻辑门多余输入端的处理方法。 3、学习分析基本的逻辑门电路的工作原理。 二、实验设备 1、基于CPLD的数字电路实验系统。 2、计算机。 三、实验内容 1、用与非门和异或门安装给定的电路。 2、检验它的真值表,说明其功能。 四、实验方法 按电路图在Quartus II上搭建电路,编译,下载到实验板上进行验证。 五、实验过程 1、用3个三输入端与非门IC芯片74LS10安装如图所示的电路。 从实验台上的时钟脉冲输出端口选择两个不同频率(约7khz和14khz)的脉冲信号分别加到X0和X1端。对应B和S端数字信号的所有可能组合,观察并画出输出端的波形,并由此得出S和B(及/B)的功能。 2、实验得真值表

数字电路逻辑设计--逻辑函数及其化简练习题

《数字电路逻辑设计》练习题 ---------- 逻辑函数及其化简 一. 用公式证明下列各等式。 1.()= D = +BC+BCD = +D= AB AC B C D AB AC D AB AC B CD AB AC AB AC +++=+++++++原式左边右边 2. A +BC (1+D)++BC =++BC=++BC =BC+BC=+BC=A C A B C D A BC A C A B A C A B A C B A A ?+?+??=+?????原式左边()右边 3. BCD BCD ACD+ABC +A BCD +BC +BCD BC +BD =BCD+A BCD BCD+BCD +ABC +BC +ACD =BCD+A BCD+BD+BC +ACD =BCD+ACD+BCD+BD+BC =BCD+ACD+BD+DC+BC =BCD+BD+DC+BC =C D+B + B D+C =BC+BD+BC= D D BC D D D D D D ++???=+?+???????原式左边()()右边 4. AB B+D CD+BC+A BD+A+CD=1=AB B+D CD BC+A BD A+C+D =AB+ B+D+CD)(B+C C D =(B+C +C D =BC+BD+CD+C+D=1=????????原式左边()++(B+D))+ 右边 二. 写出下列各逻辑函数的最小项表达式及其对偶式、 反演式 的最小项表达式 1. F=ABCD+ACD+BD =m m(0,1,2,3,5,7,8,9,10,13) F*=m(2,5,6,7,8,10,12,13,14,15) ∑=∑∑(4,6,11,12,14,15)F 2. F=AB+AB+BC =m m(0,1,6) F*=m(1,6,7) ∑=∑∑(2,3,4,5,7)F 3. F=AB+C BD+A D =m m(023******* ) F*=m(34511121315) B C +?++∑=∑∑(1,5,6,7,8,9,13,14,15) F ,,,,,,,,,,,, 三. 用公式法化简下列各式 1. F=ABC+A CD+AC =A(BC+C)+A CD=AC AB A CD =C(AD)AB=AC+CD+AB A ??++?++ 2. F=AC D+BC+BD+AB+AC+B C =AC D+BC+BD+AB+AC+BC+B C =AC D+BC+AC+B =AD+C+B ????? 3. F=(A+B)(A+B+C)(A+C)(B+C+D)F*= AB+ABC+AC+BCD = AB+AC+BCD=AB+AC F=(F*)*=(A+B)(A+C)=AC+AB ∴Q 4. F=AB+A B BC+B C AB+A B BC+B C AB+A B BC+B C A B C A A F C AB BC C AB B C C ???=?+?=?+?+=++?+=+?+ 5. F=AC+B ()()()()C B AC AC F A C B C ABC ABC AB A C BC C ABC ABC AB C A B C AC BC ++=++++=+?++++=+=+=+ 四. 用图解法化简下列各函数。 1. F=ABC+A CD+AC ?

数字电路与逻辑设计课程设计

课程设计说明书 课程设计名称数字电路与逻辑设计 专业计算机科学与技术 班级150403班 学生姓名陆文祥 指导教师宋宇 2016 年12 月19 日

课程设计任务书

题目:1.简易数字电子钟的设计与制作 2.简易数字频率计的设计与制作 3.简易智力竞赛抢答器的设计与制作 4.简易玩具电子琴的设计与制作 5.自选题目:自动电子钟 目录 设计实验一 (4) 设计实验二 (8) 设计实验三 (11) 设计实验四 (15) 自选题目 (20)

设计实验一 一、实验题目: 简易数字电子钟的设计与制作 二、设计目的 1、了解计时器主体电路的组成及工作原理; 2、掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法; 3、熟悉集成电路及有关电子器件的使用; 三、实验要求 要求设计一个能显示两位秒信号的数字电子钟,分电路设计、电路安装、电路调测三个阶段完成。 四、实验内容 (一).设计原理思路: 本次设计以数字电子为主,分别对时钟信号源、秒计时显示、分计时显示、小时计时显示进行设计,然后将它们组合,来完成时、分、秒的显示并通过本次设计加深对数字电子技术的理解以及更熟练使用计数器、触发器和各种逻辑门电路的能力。电路主要使用集成计数器,如74ls90、74ls48,LED数码管及各种门电路和基本的触发器等,电路使用直流电源供电,很适合在日常生活中使用数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。数字电子钟由以下几部分组成:六十进制秒、分计数器、二十进制时计数器;以及秒、分、时的译码显示部分等。 (二)实验电路图 图1 数字电子钟

数字电路与数字逻辑》期末考试及答案

《数字电路与数字逻辑》 期末考试试卷 考生注意:1.本试卷共有五道大题,满分100分。 2.考试时间90分钟。 3.卷面整洁,字迹工整。 一、填空题(每小题1分,共20分) 1.将下列二进制数转为十进制数 (1010001)B = ( )D ( 11 . 101 ) B = ( )D 2.将下列有符号的十进制数转换成相应的二进制数真值、原码、反码和补码 ( +254.25 ( ( )原码 =( ) 反码 = ( )补码 3.把下列4个不同数制的数(76.125)D 、 (27A)H 、 (10110)B 、(67)O 按从大到小的次序排列( )> ( )>( )>( ) 。 4.对于D 触发器,欲使Q n+1=Q n , 输入D=( ),对于T 触发器,欲使Q n+1=Q n ,输入T=( ) 5.一个512*8位的ROM 芯片,地址线为( )条,数据线为( )条。 6. 对32个地址进行译码,需要 译码器。 0,256K*32 统的最高地址为 8.将下列各式变换成最简与或式的 形式 =+B A ( ) =+B A A ( ) = ++C B C A AB ( ) 9.五级触发器的进位模数最大为( )进制。 二、组合电路设计题(每空10分,共20分) 1.用八选一数据选择器74LS151实现逻 辑函数 AC BC AB C B A L ++=),,( (10分) 2、用74LS138设计一个电路 实现函数F = AB+ B C (提示:在74LS138的示意图上直接连线即可)(10分)

三、组合电路分析题(共10分) 已知逻辑电路如下图所示,分析该电路 的功能。 四、分析题(共24分) 1、分析如下的时序逻辑电路图,画出其 状态表和状态图,并画出Q1,Q2 的波形图,Q1Q2初态为00。(14 分) 2、电路如图所示,要求写出它们的输 出函数表达式,化简,并说出它们的逻 辑功能。(10分) 五、设计题(共26分) 1.用JK触发器设计一个“111”序列检 测器,允许重复,要求用一个输出信号 来表示检测结果。(16分) 2、试用74161设计一个同步十进制计数 器,要求采用两种不同的方法。(10分) 《数字电路与数字逻辑》期末 考试答案 一、填空 1、81, 3.625 3、(27A)H>(76.125)D>(67)O>(10110) B 4、Q n, 1 5、9, 8 6、4 7、(3FFF)H 8、B A A+ B AB+ C 9、32进制 二、组合逻辑设计题 1、(5分) F=C B A BC A C AB ABC+ + +=m3d3+ m5d5+m6d6+m7d7 (5分)则d3 d5 d6 d7为1,其他为0,画图略。 2、F= Y3 Y4Y5 Y7 三、组合逻辑分析题。 (5分)F=C B A⊕ ⊕ (5分)异或功能 四、时序电路 1、状态方程:(4分) Q Q Q Q Q Q D Q n n n n n n n K J 2 1 2 2 1 2 1 1 1 1 = + = = = + + 画波形图(2分) 2、L= = (4分); C 1 =AB+(A+B)C(4分); 全加器(2分) 五、 1、设计题

数字电路与系统设计课后习题答案

1、1将下列各式写成按权展开式: (352、6)10=3×102+5×101+2×100+6×10-1 (101、101)2=1×22+1×20+1×2-1+1×2-3 (54、6)8=5×81+54×80+6×8-1 (13A、4F)16=1×162+3×161+10×160+4×16-1+15×16-2 1、2按十进制0~17的次序,列表填写出相应的二进制、八进制、十六进制数。 解:略 1、3二进制数00000000~11111111与0000000000~1111111111分别可以代表多少个数?解:分别代表28=256与210=1024个数。 1、4将下列个数分别转换成十进制数:(1111101000)2,(1750)8,(3E8)16 解:(1111101000)2=(1000)10 (1750)8=(1000)10 (3E8)16=(1000)10 1、5将下列各数分别转换为二进制数:(210)8,(136)10,(88)16 解:结果都为:(10001000)2 1、6将下列个数分别转换成八进制数:(111111)2,(63)10,(3F)16 解:结果都为(77)8 1、7将下列个数分别转换成十六进制数:(11111111)2,(377)8,(255)10 解:结果都为(FF)16 1、8转换下列各数,要求转换后保持原精度: 解:(1、125)10=(1、0010000000)10——小数点后至少取10位 (0010 1011 0010)2421BCD=(11111100)2 (0110、1010)余3循环BCD码=(1、1110)2 1、9用下列代码表示(123)10,(1011、01)2: 解:(1)8421BCD码: (123)10=(0001 0010 0011)8421BCD (1011、01)2=(11、25)10=(0001 0001、0010 0101)8421BCD (2)余3 BCD码 (123)10=(0100 0101 0110)余3BCD (1011、01)2=(11、25)10=(0100 0100、0101 1000)余3BCD 1、10已知A=(1011010)2,B=(101111)2,C=(1010100)2,D=(110)2 (1)按二进制运算规律求A+B,A-B,C×D,C÷D, (2)将A、B、C、D转换成十进制数后,求A+B,A-B,C×D,C÷D,并将结果与(1)进行比较。解:(1)A+B=(10001001)2=(137)10 A-B=(101011)2=(43)10 C×D=(111111000)2=(504)10 C÷D=(1110)2=(14)10 (2)A+B=(90)10+(47)10=(137)10 A-B=(90)10-(47)10=(43)10 C×D=(84)10×(6)10=(504)10 C÷D=(84)10÷(6)10=(14)10 两种算法结果相同。 1、11试用8421BCD码完成下列十进制数的运算。 解:(1)5+8=(0101)8421BCD+(1000)8421BCD=1101 +0110=(1 0110)8421BCD=13

数字电路与逻辑设计习题_2016

一、选择题 1. 以下表达式中符合逻辑运算法则的是 D 。 A.C ·C=C 2 B.1+1=10 C.0<1 D.A+1=1 2. 一位十六进制数可以用 C 位二进制数来表示。 A . 1 B . 2 C . 4 D . 16 3. 当逻辑函数有n 个变量时,共有 D 个变量取值组合? A. n B. 2n C. n 2 D. 2n 4. 逻辑函数的表示方法中具有唯一性的是 A 。 A .真值表 B.表达式 C.逻辑图 D.状态图 5. 在一个8位的存储单元中,能够存储的最大无符号整数是 D 。 A .(256)10 B .(127)10 C .(128)10 D .(255)10 6.逻辑函数F=B A A ⊕⊕)( = A 。 A.B B.A C.B A ⊕ D. B A ⊕ 7.求一个逻辑函数F 的对偶式,不可将F 中的 B 。 A .“·”换成“+”,“+”换成“·” B.原变量换成反变量,反变量换成原变量 C.变量不变 D.常数中“0”换成“1”,“1”换成“0” 8.A+BC= C 。 A .A+ B B.A+ C C.(A+B )(A+C ) D.B+C 9.在何种输入情况下,“与非”运算的结果是逻辑0。 D A .全部输入是0 B.任一输入是0 C.仅一输入是0 D.全部输入是1 10.在何种输入情况下,“或非”运算的结果是逻辑1。 A A .全部输入是0 B.全部输入是1 C.任一输入为0,其他输入为1 D.任一输入为1 11.十进制数25用8421BCD 码表示为 B 。 A .10 101 B .0010 0101 C .100101 D .10101 12.不与十进制数(53.5)10等值的数或代码为 C 。 A .(0101 0011.0101)8421BCD B .(35.8)16 C .(110101.11)2 D .(65.4)8 13.以下参数不是矩形脉冲信号的参数 D 。 A.周期 B.占空比 C.脉宽 D.扫描期 14.与八进制数(47.3)

湖大数字电路与逻辑设计试卷答案

数字电路与逻辑设计1_3试卷和答案 一、填空(每空1分,共45分) 1.Gray码也称循环码,其最基本的特性是任何相邻的两组代码中,仅有一位数码不同,因而又叫单位距离码。 2.二进制数转换成十进制数的方法为:按权展开法。 3.十进制整数转换成二进制数的方法为:除2取余法,直到商为 0 止。4.十进制小数转换成二进制数的方法为:乘2取整法,乘积为0或精度已达到预定的要求时,运算便可结束。 5.反演规则:对于任意一个逻辑函数式F,如果将其表达式中所有的算符“·”换成“ + ”,“ + ”换成“·”,常量“0”换成“ 1 ”,“ 1 ”换成“0”,原变量换成反变量,反变量换成原变量,则所得到的结果就是。称为原函数F的反函数,或称为补函数 6.n个变量的最小项是n个变量的“与项”,其中每个变量都以原变量或反变量的形式出现一次。对于任何一个最小项,只有一组变量取值使它为 1 ,而变量的其余取值均使它为 0 。 7.n个变量的最大项是n个变量的“或项”,其中每一个变量都以原变量或反变量的形式出现一次。对于任何一个最大项,只有一组变量取值使它为 0 ,而变量的其余取值均使它为 1 。 8.卡诺图中由于变量取值的顺序按格雷码排列,任何几何位置相邻的两个最小项,在逻辑上都是相邻的。,保证了各相邻行(列)之间只有一个变量取值不同。 9.卡诺图化简逻辑函数方法:寻找必不可少的最大卡诺圈,留下圈没有变化的那些变量。求最简与或式时圈 1 、变量取值为0对应反变量、变量取值为1对应原变量;求最简或与式时圈 0 、变量取值为0对应原变量、变量取值为1对应反变量。 10.逻辑问题分为完全描述和非完全描述两种。如果对于输入变量的每一组取值,逻辑函数都有确定的值,则称这类函数为完全描述逻辑函数。如果对于输入变量的某些取值组合逻辑函数值不确定,即函数值可以为0,也可以为1(通常将函数值记为?或×),那么这类函数称为非完全描述的逻辑函数。 11.数字集成电路按其部有源器件的不同可以分为两大类:双极型晶体管集成电路和MOS(Metal Oxide Semiconductor)集成电路。 12.TTL集成电路工作速度高、驱动能力强,但功耗大、集成度低; MOS 集成电路集成度高、静态功耗低。 13.按集成电路部包含的等效门个数可分为:小规模集成电路(SSI-Small Scale Integration),中规模集成电路(MSI-Medium Scale Integration),大规模集成电路(LSI-Large Scale Integration),超大规模集成电路(VLSI-Very Large Scale Integration) 二、判断题(在括号中打×或√;每题3分,共15分) 1.集电极开路门和三态门是不允许输出端直接并联在一起的两种TTL门。(×)2.用集电极开路门可以构成线与逻辑。 ( √ ) 3.普通TTL门的输出只有两种状态——逻辑 0 和逻辑 1,这两种状态都是高阻输出。三态逻辑(TSL)输出门除了具有这两个状态外,还具有低阻输出的第三状态(或称禁止状态),这时输出端相当于短路。(× ) 4.TTL电路和CMOS电路接口时,无论是用TTL电路驱动CMOS电路还是用CMOS电路驱动TTL 电路,驱动门都必须为负载门提供合乎标准的高、低电平和足够的电流(√)5.若F的对偶式为G;则G的对偶式为F。(√)

数字电路知识点汇总(精华版)

数字电路知识点汇总(东南大学) 第1章数字逻辑概论 一、进位计数制 1.十进制与二进制数的转换 2.二进制数与十进制数的转换 3.二进制数与16进制数的转换 二、基本逻辑门电路 第2章逻辑代数 表示逻辑函数的方法,归纳起来有:真值表,函数表达式,卡诺图,逻辑图及波形图等几种。 一、逻辑代数的基本公式和常用公式 1)常量与变量的关系A+0=A与A= ?1A A+1=1与0 ?A 0= A?=0 A A+=1与A 2)与普通代数相运算规律 a.交换律:A+B=B+A A? ? = A B B b.结合律:(A+B)+C=A+(B+C) ? A? B ? ? = (C ) C ( ) A B c.分配律:) ?=+ A? B (C A? ?B A C + A+ = +) B ? ) (C )() C A B A 3)逻辑函数的特殊规律 a.同一律:A+A+A

b.摩根定律:B B A+ = A ? A +,B B A? = b.关于否定的性质A=A 二、逻辑函数的基本规则 代入规则 在任何一个逻辑等式中,如果将等式两边同时出现某一变量A的地方,都用一个函数L表示,则等式仍然成立,这个规则称为代入规则例如:C ? + A⊕ ⊕ ? B A C B 可令L=C B⊕ 则上式变成L ?=C + A A? L ⊕ ⊕ = L A⊕ B A 三、逻辑函数的:——公式化简法 公式化简法就是利用逻辑函数的基本公式和常用公式化简逻辑函数,通常,我们将逻辑函数化简为最简的与—或表达式1)合并项法: 利用A+1 A= ? B ?,将二项合并为一项,合并时可消去 = +A = A或A B A 一个变量 例如:L=B + B A= ( C +) = A C A C B B C A 2)吸收法 利用公式A A?可以是? +,消去多余的积项,根据代入规则B A B A= 任何一个复杂的逻辑式 例如化简函数L=E AB+ + D A B 解:先用摩根定理展开:AB=B A+再用吸收法 L=E + AB+ A D B

东师《数字电路与数字逻辑》19春在线作业1

(单选题)1: 下列不属于简单PLD的是() A: PLA B: PAL C: GAL D: CPLD 正确答案: (单选题)2: 无论是那一种ADC,都是要把() A: 离散的模拟量转换成连续的数字量 B: 离散的模拟量转换成离散的数字量 C: 连续的模拟量转换成离散的数字量 D: 连续的模拟量转换成连续的数字量 正确答案: (单选题)3: ISP工程KIT是基于()编程接口实现的 A: PC串行I/O B: PC并行I/O C: 端口号 D: 存储器地址 正确答案: (单选题)4: 一个容量为1K*8的存储器有()个存储单元 A: 8 B: 8K#8000 C: 8192 正确答案: (单选题)5: 在外加触发信号有效时,电路可以触发翻转,实现()。A: 置0 B: 置1 C: 置0或置1 正确答案: (单选题)6: 多余输入端可以悬空使用的门是()。 A: 与非门 B: TTL与非门 C: 或非门 D: 亦或门 正确答案: (单选题)7: 寄存器是用来暂存数据的()部件。 A: 物理 B: 物理和逻辑 C: 逻辑

(单选题)8: 二进制数100111011转换为八进制数是:() A: 164 B: 543 C: 473 D: 456 正确答案: (单选题)9: 组合电路是由()。 A: 门电路构成 B: 触发器构成 C: A和B 正确答案: (单选题)10: 用二进制码表示指定离散电平的过程称为() A: 采样 B: 量化 C: 保持 D: 编码 正确答案: (多选题)11: ROM的一般结构由哪几部分组成() A: 地址译码器 B: 指令译码器 C: 存储矩阵 D: 读出电路 正确答案: (多选题)12: PLD编程连接点有哪几种形式() A: 固定连接 B: 不固定连接 C: 编程连接 D: 不连接 正确答案: (多选题)13: 基本RS触发器用两个输入端分别加有效信号(在这里低电平有效)可使触发器直接()。 A: 置0 B: 置1 C: 置-1 正确答案: (多选题)14: 根据输出信号的特点可将时序电路分为()

相关主题
文本预览
相关文档 最新文档