当前位置:文档之家› 集成电路的EDA技术

集成电路的EDA技术

集成电路的EDA技术
集成电路的EDA技术

集成电路的EDA技术及实例分析

学院:电子信息工程学院

专业班级:通信1004

学生姓名:童博10284043

姚剑鹏10222087

2012 年12 月20 日

集成电路的EDA技术

摘要:本文首先介绍了EDA技术的基本概念及其发展历程,接着逐一介绍了EDA技术主要内容,主要包括可编程逻辑器件与硬件描述语言。对EDA技术的设计方法发展进行了介绍与分析,并列举了一个数字ASIC设计的实例。接着引出了传统与现代设计方法的区别并展示了集成电路与可编程逻辑器件的设计过程。然后对EDA的应用软件进行了简单介绍,同时了解了一些著名的公司。最后对现代电子技术的核心——可编程逻辑器件(PLD)进行了重点介绍,包括器件品种分类、应用特点、芯片标识信息等内容,文章最后将其与FPGA作了简单比较并列举了具体例子展示芯片内容。

关键词:EDA技术可编程逻辑器件EDA应用PLD/FPGA芯片

1.EDA技术的概念及其发展历程

20世纪90年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。

1.1 EDA技术的概念

EDA技术是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。

利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成。

现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。本文所指的EDA技术,主要针对电子电路设计、PCB 设计和IC设计。

EDA设计可分为系统级、电路级和物理实现级。

1.2 EDA技术的发展历程

二十世纪后半期,随着集成电路和计算机的不断发展,电子技术面临着严峻的挑战。由于电子技术发展周期不断缩短,专用集成电路(ASIC)的设计面临着难度不断提高与设计周期不断缩短的矛盾。为了解决这个问题,要求我们必须采用新的设计方法和使用高层次的设计工具。在此情况下,EDA(电子设计自动化)技术应运而生。随着电子技术的发展及缩短电子

系统设计周期的要求,EDA技术得到了迅猛发展。

EDA技术是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方法设计电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、逻辑布局布线、逻辑仿真,直至对于特定目标芯片的适配编译、逻辑影射、编程下载等工作,最终形成集成电子系统或专用集成芯片的一门新技术。EDA技术伴随着计算机、集成电路、电子系统设计的发展,经历了三个发展阶段,即:20世纪70年代发展起来的CAD技术;20世纪80年代开始应用的CAE技术;20世纪90年代后期,出现的以硬件描述语言、系统级仿真和综合技术为特征的EDA技术,这时的EDA工具不仅具有电子系统设计的能力,而且能提供独立于工艺和厂家的系统级设计能力,具有高级抽象的设计构思手段。

2. EDA技术内容

2.1 大规模可编程逻辑器件

可编程逻辑器件是近几年才发展起来的一种新型集

成电路,是当前数字系统设计的主要硬件基础,是硬件

编程语言HDL物理实现工具,可编程逻辑器件对数字系

统设计自动化起着推波助澜的作用,可以说,没有可编程逻辑器件就没有当前的数字电路自动化,目前,由于这种以可编程逻辑器件为原材料。从“制造自主芯片”开始的EDA设计模式己成为当前数字系统设计的主流。

数字集成电路本身在不断地进行更新换代。它由早期的电子管、晶体管、小中规模集成电路、发展到超大规模集成电路(VLSIC,几万门以上)以及许多具有特定功能的专用集成电路。但是,随着微电子技术的发展,设计与制造集成电路的任务已不完全由半导体厂商来独立承担。系统设计师们更愿意自己设计专用集成电路(ASIC芯片,而且希望ASIC的设计周期尽可能短,最好是在实验室里就能设计出合适的ASIC芯片,并且立即投入实际应用之中,因而出现了现场可编程逻辑器件(FPLD),其中应用最广泛的当属现场可编程门阵列(FPGA)

和复杂可编程逻辑器件(CPLD)。

可编程逻辑器件正处于高速发展的阶段。新型的FPGA/CPLD规模越来越大,成本越来越低。高性价比使可编程逻辑器件在硬件设计领域扮演着日益重要的角色。

低端CPLD已经逐步取代了74系列等传统的数字元件,高端的FPGA也在不断地夺取ASIC的市场份额,特别是目前大规模FPGA多数支持可编程片上系统(SOPC),与CPU或DSP CORE 的有机结合使FPGA已经不仅仅是传统的硬件电路设计手段,而逐步升华为系统级实现工具。

下一代可编程逻辑器件硬件上的四大发展趋势可总结如下:最先进的ASIC生产工艺将被更广泛的应用于以FPGA为代表的可编程逻辑器件;越来越多的高端FPGA产品将包含DSP 或CPU等处理器内核,从而FPGA将由传统的硬件设计手段逐步过渡为系统级设计平台:FPGA将包含功能越来越丰富的硬核(Hard IP Core),与传统ASIC进一步融合,并通过结构化ASIC技术加快占领部分ASIC市场;低成本FPGA的密度越来越高,价格越来起合理,将成为FPGA发展的中坚力量。这4个发展趋势可简称为先进工艺、处理器内核、硬核与结构化ASIC、低成本器件。

2.2 硬件描述语言HDL

HDL是电子系统硬件行为描述、结构描述、数据流描述的语言。目前利用硬件描述语言可以进行数字电子系统的设计。随着研究的深入,利用硬件描述语言进行模拟电子系统设计或混合电子系统设计,也正在探索中。硬件描述的语言种类很多,有的从PASCAL发展而来,也有一些从C语言发展而来。有些HDL成为IEEE标准,但大部分是本企业标准。HDL 展的技术源头是:在HDL形成发展之前,已有了许多程序设计语言,如汇编、C、PASCAL、FORTRAN、PROLOG等。这些语言运行在不同硬件平台、不同的操作环境中,它们适合于描述过程和算法,不适合作硬件描述。CAD的出现,使人们可以利用计算机进行建筑、服装等行业的辅助设计,而电子辅助设计也同步发展起来。在利用EDA工具进行电子设计时,逻辑图、分立电子元件作为整个越来越复杂的电子系统的设计己不适应。。任何一种EDA 工具,都需要一种硬件描述语言作为EDA工具的工作语言。这些众多的EDA工具软件开发者,各自推出了自己的HDL语言。在我国比较有影响的硬件描述语言有:ABEL—HDL语言、Verilog HDL语言、AHDL语言和VHDL语言。这里主要介绍Verilog HDL和VHDL。

2.2.1 Verilog HDL

Verilog HDL就是在应用最广泛的C语言的基础上发展起来的一种硬件描述语言,它是由GDA公司在1983年末首创的,最初只设计了一个仿真与验证工具,之后又陆续开发了相关的故障模拟与时序分析工具。1985年GDA公司推出它的第三个商用仿真器Verilog—XL,获得了巨大的成功,从而使得Verilog HDL迅速得到推广应用。1989年CADENCE公司收购了GDA公司,使得Verilog HDL成为了该公司的独家专利。1990年CADENCE公司公开发表了Verilog HDL,并成立LVI组织以促进Verilog HDL成为IEEE标准,即IEEE Standard

1364--1995。

Verilog HDL的最大特点就是易学易用,如果有C语言的编程经验,可以在一个较短的时间内很快的学习和掌握,因而可以把Verilog HDL内容安排在与ASIC设计等相关课程内部进行讲授,由于HDL语言本身是专门面向硬件与系统设计的,这样的安排可以使学习者同时获得设计实际电路的经验。与之相比,VHDL的学习要困难一些。但Verilog HDL较自由的语法,也容易造成初学者犯一些错误,这一点要特别注意。

2.2.2 VHDL

VHDL语言即超高速集成电路硬件描述语言,美国国防部在20世纪80年代后期开发了VHDL语言。1981年6月成立的VHDL工作小组,提出了一个满足电子设计各种要求的能够作为工业标准的HDL。1983年第三季度,由IBM公司、TI公司、Intermetrics公司签约,组成开发小组,工作任务是提出语言版本和开发软件环境。1986年IEEE标准化组织开始工作,讨论VHDL语言标准,历时一年有余,1987年12月通过标准审查,并宣布实施,即IEEE STD 1071--1987[LRM87]。1993年VHDL重新修订,形成新的标准即IEEE STD 10761993[LRM93]。该语言设计技术齐全、方法灵活、可与制作工艺无关、编程易于共享,所以成为硬件描述语言的主流,成为标准硬件描述语言。将VHDL程序写入可编程芯片中,做成ASIC芯片,因其开发周期短,更改方便,所以将在很大范围内取代单片控制电路,成为未来数字电路设计的主旋律。由于半导体编程技术的快速进步,VHDL所能提供的高阶电路描述语言方式,使复杂的电路可以通过VHDL编辑器的电路方式,轻易而且快速地达到设计的规格。VHDL电路描述语言所能涵盖的范围相当广,能适用于各种不同阶层的设计工程师的需求。从ASIC 的设计到PCB系统的设计,VHDL电路描述语言都能派上用场,所以VHDL电路设计毫无疑问地成为硬件设计工程师的必备工具。目前,VHDL语言也已成为FPGA/CPLD编程最常用的工具。

VHDL作为EDA的重要组成都分,提供了借助计算机进行数字系统设计的一种很好的手

段。用VHDL进行设计有许多优点,VHDL的硬件描述能力很强,可以用于从门级、电路级

直至系统级的描述、仿真、综合和调试。利用VHDL丰富的仿真语句和库函数,对大系统的早期设计,可在远离门级的高层次上进行模拟,以利于设计者确定整个设计结构和功能的可行性。VHDL强大的行为描述能力和程序结构,使其具有支持对大规模设计进行分解,以及对已有的设计进行再利用的功能。运用VHDL设计系统硬件具有相对独立性,设计时没有嵌入与工艺有关的信息,对硬件的描述与具体的工艺技术和硬件结构无关。当门级或门级以上的描述通过仿真检验以后,再用相应的工具将设计映射成不同的工艺,这使硬件实现的目标器件有很宽的选择范围,并且修改电路与修改工艺(或选择器件)相互之间不会产生不良影响。VHDL标准,规范,语法较为严格,采用VHDL的设计便于重复利用交流,VHDL所具有的类属描述语句和子程序调用等功能,使设计者对完成的设计,不必改变源程序,只需改变类属参数或函数,就可改变设计的规模和结构。1995年我国国家技术监督局制定的《CAD通用技术规范》推荐将VHDL作为我国电子设计自动化硬件描述语言的国家标准。VHDL已经成为世界上各家EDA工具和集成电路厂商普遍认同和共同推广的标准化硬件描

述语言。掌握VHDL,利用VHDL设计电子电路,是当前进行技术竞争的一项基本技能和强有力工具。

3. EDA技术层次化方法

目前,EDA技术的含义已经不仅局限于当初的电路版图的设计自动化,更多的是指芯片内的电子系统设计自动化。1台计算机、1套EDA软件和1片或几片大规模可编程芯片就能完成电子系统的设计。

传统的数字系统设计方法由于大多采用试凑法。试凑法由真值表、卡诺图、布尔方程状态表和状态图描述电路的功能。但试凑法交流和修改很不方便,设计花费时间也较多。当数字系统的功能越来越复杂、器件的集成度越来越高时,这种方法的缺点就突现出来。目前利用EDA技术进行数字系统的设计有采用自底向上设计法、自顶向下设计法、混合式设计法及模块设计法等。利用层次化设计理念,从整个系统功能出发,按一定原则将系统进行逐层分解,整个系统就是由不同层次上的各种功能模块连接而成,使得系统的结构就像一颗树。系统设计分解过程与结构如图1所示。由图可见,在这样的图形结构中包括两种基本结构,一种是表示模块之间连接的“结构描述”,另一种表示模块性能的性能描述。首先给出系统功能描述,然后再进行功能分解,逐层设计。

图1 系统层次化设计

3.1数字ASIC的设计流程

图2所示为数字ASIC(专用集成电路)的设计流程,此流程由系统描述、功能设计、逻辑设计、电路设计、物理设计、芯片制造和测试封装组成。

(1)系统描述

这个阶段的要求如下:1.对用户的需求、市场前景进行充分的调研与分析;2.对设计模式和制造工艺的选择进行认证;3 .以设以文档的形式将ASIC的技术指标、功能、性能、外形尺寸、芯片尺寸、速度与功耗等描述出来。

(2)功能设计

在行为级上将ASIC的功能及其各个组成子模块的关系正确而完整地描述出来。通常用功能状态转换图来描述所要设计的ASIC功能,同时还用实现各个功能所对应的模块及其相互联系图(既要反映模块间的通信关系,又要反映模块与外部的通信关系)来描述。

(3)逻辑设计其主要任务是得到一个实现系统功能的逻辑结构,并对其进行模拟,验证它的正确性。通常采用逻辑图、HDL语言或布尔表达式来表示系统的逻辑结构。

(4)电路设计将逻辑图中的各个逻辑部件细化到由一些基本门互联的结构,进而细化到由晶体管互联的电路结构。电路设计中要考虑电路的速度与功耗,要注意所使用的原件性能。(5)物理设计

包括版图的设计与验证两个任务。版图的设计是将电路符号表示转换为几何符号表示。版图的设计应符合与制作工艺有关的设计规则要求。版图的验证内容包括设计规则检查(DRC),版图的网表及参数提取(NPE),电学规则检查(ERC),版图与电路原理图一致性检查(LVS)以及后仿真。在版图设计的全过程中以及完成后均需进行版图验证,通常在后仿真与版图设计之间将会发生一个多次反复的迭代过程,以保证所设计的版图满足制作工艺要求和符号系统的设计规范。

(6)芯片制造和测试封装

经过验证的版图就可以送去制作模板并制造芯片,最后进行测试封装。

图2数字ASIC的设计流程

数字ASIC设计举例:一个简单定时器ASIC的设计全过程:1.系统描述

1. 定时范围:0 ~ 60分钟;分辨率:1 分钟

2. 主要用途:家庭厨房、文教卫生等;

3. 芯片功耗:工作状态≤10 mW 休眠状态≤2 mW;

4. 芯片面积:约1.5 mm ×1.5 mm;

5. 工作速度:≤50 kHz

6. 工作环境:常温;

7. 制造工艺:2μm n阱单铝CMOS;

8. 封装形式:双列直插,塑封;

9. 预计成本:4.60元/片( 产量> 16000片);

10. 引脚:24脚,见下图

11. 外围电路: 所设计的定时器ASIC芯片加上少量的外围元件即可装配出一个实用的家用定时器。

引脚图

定时器外围电路设计

2.功能设计

这一步是在行为级上将定时器的功能与系统的各个子模块的关系正确而完整的描述出来。最终得到定时器的功能状态转移图以及实现各种功能所需要的各个子模块及其相互联系图。(注意:要反映出模块间和模快与外部的通讯关系) 。

3、4.逻辑设计和电路设计

逻辑设计的主要任务是得到一个实现系统功能的逻辑结构,并对它进行模拟,验证其正确性。设计中采用逻辑图来表示系统的逻辑结构。电路设计的任务是将逻辑部件细化到由各个基本门电路互连的结构,进而细化到由晶体管互连的电路结构,通常采用详细的电路图来表示设计结果。我们用设计软件来完成逻辑设计与电路设计。DSCH软件能够进行逻辑图与电路图的输入与模拟验证。

COUNT60加减计数功能测试波形

COUNT60实现再次加计数功能的测试波形

5.版图设计

版图设计的任务是根据逻辑和电路功能的要求和工艺制造的约束条件(线宽,间距等.反映在设计规则中)来设计掩模图.在Microwind 环境下步骤如下:

1.做布图规划(floorplanning);

2.单元电路与模块的设计与验证;

3.生成具有压焊块环带的空白芯片图;

4.按布图规划将设计好的单元电路与模块放置到空白芯片上;

5.连线并进行设计规则检查与模拟测试,发现错误随时修正.通常按模块一个一个地在4、5两步间循环,直到整个系统完成.最后还要做总体模拟测试;

6.将测试通过的版图文件转换为CIF或GDSII文件,提交给集成电路制造工厂。

版图布局规划

最终版图设计:

定时器总体模拟测试波形图

最后将设计好的版图文件(后缀为.MSK)用File 下拉菜单中的命令Make CIF File 转换为.CIF 文件,提交给集成电路制造工厂去制作芯片.

6.设计分析

这次设计基本按照从顶而下的设计过程来进行设计,首先对系统的功能进行分析,确认系统的各个功能模块及各模块之间的关系,然后设计功能实现的算法,再根据算法选择所寄存器与逻辑门,然后完成底层的电路设计与版图设计,成功实现一个定时器芯片的功能,是一个比较典型的数字ASIC设计。

从这次设计中我们可以看出从顶而下的设计过程的优点:首先是整体把握好,可以考虑到系统的各个功能与各功能之间的关系,从而从大方面奠定了系统的功能完善性与可靠性;另外修改方便,当需要增加或改变某个模块时,我们只需要对这个模块进行修改并修改模块与其他模块的接口即可,不用对整个系统进行大规模变化。而且可以逐级仿真,及时修正错误,这也是传统设计方法所难达到的。同时这种设计过程设计时间短,借助EDA软件及底层工艺库可以很快的完成一次设计,大大缩短了设计周期。

3.2模拟ASIC的设计流程

模拟ASIC的设计流程如图3所示。整个流程分为结构设计、单元设计和物理版图设计三个阶段。

(1)结构级设计

将用户给定的关于模拟集成电路性能的抽象描述,转化为一个用各种功能单元所构成的电路,该电路能实现所要求的电性能。

(2)单元级设计

单元级设计又细分为拓扑选择和尺寸优化。

拓扑选择根据功能单元的性能指标和工作环境,决定用何种具体的电路结构来实现该单元的功能。优化元器件尺寸是在获得电路结构的条件下,根据所需要的电路性能指标和生产工艺条件确定每个器件的“最佳”几何尺寸,以提高模拟集成电路的合格率。

(3)物理版图级设计

将具有器件尺寸和满足一定约束条件的电路原理图映射成集成电路板图。

图3 模拟ASIC的设计流程

模拟集成电路的设计比数字集成电路的设计要复杂的多,这是由于模拟集成电路的特殊性决定的,即模拟集成电路的层次不如数字集成电路清楚,性能指标复杂,拓扑结构层出不穷,电路性能对器件尺寸、工艺及系统级的串扰非常敏感。

由于上述模拟设计的种种特殊性,就需要设计者在设计过程中综合考虑各项性能指标,

合理选择电路拓扑结构,反复优化器件尺寸,深入考虑加工工艺、工作环境和各种因素,精心设计物理版图。

3.3 传统设计方法与现代设计方法比较

图4 传统设计方法与现代设计方法

传统设计方法:自底而上,由电路级、版图级向上设计

优点:底层优化程度高,设计大中规模集成电路时的经济性好

缺点:整体把握性差,修改困难,工作量大,设计周期长,自动化程度低,资料不可重用,难以设计超大规模系统

现代设计方法:自顶而下,由系统级开始向下设计

优点:整体把握好,顶层优化程度高,逐级仿真,及时修正,设计周期短,自动化程度高,资料可重用,可并行设计,适合超大规模系统设计

缺点:依赖EDA工具,依赖底层工艺库,设计中大规模集成电路经济性不好

3.4 可编程逻辑器件设计过程

图5 可编程逻辑器件设计过程

(1)设计准备:明确系统功能及技术指标,论证系统设计方案

(2)设计输入:

①原理图输入:从软件系统提供的元件库中调元件,画原理图,符合传统设计的习惯,

但缺点是可移植性与重用性较差

②硬件描述语言输入:用软件编程描述设计,有VHDL、Verilog HDL两个IEEE标准,

优点是与工艺无关,无须熟悉底层电路和PLD结构,重用性好,

输入效率高,便于归档、交流。

③波形输入:用于创建波形设计文件,及仿真测试向量。

(3)功能仿真:对可综合模型进行逻辑功能验证,不涉及具体器件的硬件性能,没有延时信息。

(4)设计处理:对设计输入文件进行逻辑综合、结构综合,最后生成可供器件编程用的编文件

①语法检查与设计规则检查:如文本文件中关键字有无输错;原理图有无漏连信号线,

信号有无多重来源,总得设计有无超出器件资源或规定的限制等。

②逻辑综合与优化:将设计输入文件,依据给定的硬件结构组件和约束控制条件进行

编译优化、转换与综合,最后生成门级甚至更底层的电路描述网表文件

③适配和分割:将综合优化后的逻辑与具体目标器件中的宏单元和I/O单元进行适配,

将设计分割为便于识别的逻辑小块映射到宏单元中。

④布局与布线:以优化的方式对逻辑元件布局,并实现元件间互联。

(5)时序仿真:针对目标硬件完成布局布线后进行的仿真,带有硬件延迟信息,是与实际器件工作情况更为接近的仿真

(6)器件编程、测试:设计处理后,软件自动生成供器件编程用的下载或配置文件,可通过编程器或编程电缆下载到可编程逻辑器件。

4.EDA的主要应用软件

EDA软件在EDA技术应用中占据极其重要的地位,EDA的核心是利用计算机实现电路设计的自动化,因此基于计算机环境下的EDA工具软件的支持足必不可少的。

EDA软件品种繁多,大致可分为三大类。第一类是电路图设计软件,主要完成电路原

理图的绘制和印制电路版图的绘制。第二类是电子电路仿真软件,主要完成电子电路和系统的仿真。第三类是片上系统开发软件,主要完成复杂电子系统的设计、仿真、编译和下载,在单芯片上实现电子系统。

4.1 电路图设计软件

常用的电路图仿真软件主要包括Protel(国内应用最广)、OrCAD(国际应用最广)两种。

(1)PROTEL是Altium公司在80年代末推出的EDA软件,在电子行业的CAD软件中,它当之无愧地排在众多EDA软件的前面,是电子设计者的首选软件,它较早就在国内开始使用,在国内的普及率也最高。

早期的PROTEL主要作为印制板自动布线工具使用,运行在DOS环境,对硬件的要求很低,在无硬盘286机的1M内存下就能运行,但它的功能也较少,只有电路原理图绘制与印制板设计功能,其印制板自动布线的布通率也低,而现今的PROTEL已发展到DXP 2004,是个庞大的EDA软件,完全安装有200多M,它工作在WINDOWS95环境下,是个完整的板级全方位电子设计系统,它包含了电路原理图绘制、模拟电路与数字电路混合信号仿真、多层印制电路板设计(包含印制电路板自动布线)、可编程逻辑器件设计、图表生成、电子表格生成、支持宏操作等功能,并具有Client/Server (客户/服务器)体系结构,同时还兼容一些其它设计软件的文件格式,如ORCAD,PSPICE,EXCEL等,其多层印制线路板的自动布线可实现高密度PCB的100%布通率

PROTEL99SE使用截图

(2)ORCAD Capture (以下以Capture代称)是一款基于Windows 操作环境下的电路设计工具。利用Capture软件,能够实现绘制电路原理图以及为制作PCB和可编程的逻辑设计提供连续性的仿真信息。

ORCAD是由ORCAD公司于八十年代末推出的EDA软件,它是世界上使用最广的EDA 软件,每天都有上百万的电子工程师在使用它,相对于其它EDA软件而言,它的功能也是最强大的,由于ORCAD软件使用了软件狗防盗版,因此在国内它并不普及,知名度也比不上PROTEL,只有少数的电子设计者使用它,它进入国内是在电脑刚开始

普及的94年。

4.2 仿真软件

常用的仿真软件有以下4种。

(1)Spice Spice是Simulation Program with Integrated Circuit Emphasis的缩写,是一种功能强大的通用模拟电路仿真器,已经具有几十年的历史了,该程序是美国加利福尼亚大学伯克利分校电工和计算科学系开发的,主要用于集成电路的电路分析程序中。

SPICE可对电路进行非线性直流分析、非线性瞬态分析和线性交流分析。被分析的电路中的元件可包括电阻、电容、电感、互感、独立电压源、独立电流源、各种线性受控源、传输线以及有源半导体器件。SPICE内建半导体器件模型,用户只需选定模型级别并给出合适的参数。

比较常见的Spice仿真软件有Hspice、Pspice、Spectre、Tspice、SmartSpcie、IsSpice 等Hspice是事实上的Spice工业标准仿真软件,在业内应用最为广泛,它具有精度高、仿真功能强大等特点,但它没有前端输入环境,需要事前准备好网表文件,不适合初级用户,主要应用于集成电路设计。

HSPICE截图

(2)EWB

EWB是一种电子电路计算机仿真软件,它被称为电子设计工作平台或虚拟电子实验室,英文全称为Electronics Workbench。EWB是加拿大Interactive Image Technologies 公司于1988年开发的,自发布以来,已经有35个国家、10种语言的人在使用。EWB 以SPICE3F5为软件核心,增强了其在数字及模拟混合信号方面的仿真功能。SPICE3F5是SPICE的最新版本,SPICE自1972年使用以来,已经成为模拟集成电路设计的标准

软件。

(3)Multisim

Multisim是美国国家仪器(NI)有限公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。

使用者可以使用Multisim交互式地搭建电路原理图,并对电路进行仿真。Multisim提炼了SPICE仿真的复杂内容,这样使用者无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计,这也使其更适合电子学教育通过Multisim和虚拟仪器技术,PCB设计工程师和电子学教育工作者可以完成从理论到原理图捕获与仿真再到原型设计和测试这样一个完整的综合设计流程。

(4)System View System View是美国Elanix公司研发的系统级仿真软件,提供并开发电子系统的模拟和数字工具、核心库和扩展功能的特殊应用库。设计者通过构建框图的形式组成系统,设置参数,进行仿真。

其主要功能有:动态系统仿真、通信系统仿真、离散系统的Z域分析、连续系统的Laplace

域分析、模拟和数字滤波器设计、信号频谱和功率谱分析等。

4.3片上系统开发软件

常用的片上系统开发软件有以下3种。

(1)QuartusII Quartus II 是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。

相关主题
文本预览
相关文档 最新文档