当前位置:文档之家› EDA实验指导书

EDA实验指导书

EDA实验指导书
EDA实验指导书

CPLD与FPGA设计

实验指导书

(电子工程实验室编)

前言

《CPLD与FPGA设计》课程实验是在学习《CPLD与FPGA设计》课程中为加强理解CPLD和FPGA设计的概念、掌握CPLD和FPGA设计的基本方法而开设的实践性课程。主要教学对象为电子信息工程、电子信息科学与技术专业的学生,此外对自动化专业、电气工程及自动化专业的《EDA技术及应用》课程,测控技术专业的《EDA设计基础》课程也适用。

本实验课程设置有7个验证性实验,包括:逻辑运算、1对4解多任务器、四位加减法器、十进制计数器、全加器、状态机、具有控制线的串行输入移位寄存器;1个设计性实验:综合电路设计。

通过本实验课程,使学生掌握原理图输入设计以及用VHDL语言设计组合逻辑和时序逻辑电路的方法,掌握使用Quartus II进行综合设计的方法,熟练编程语言和设计软件的使用,掌握进行波形仿真分析的方法。

目录

实验一逻辑运算------------------------------------------4 实验二1对4解多任务器-------------------------------7 实验三四位加减法器-----------------------------------11 实验四十进制计数器-----------------------------------14 实验五全加器--------------------------------------------18 实验六状态机--------------------------------------------22 实验七具有控制线的串行输入移位寄存器--------26 实验八综合电路设计-----------------------------------30

实验一逻辑运算

实验学时:1

实验类型:验证

实验要求:必修

一、实验目的:

1.掌握组合逻辑电路的设计方法。

2.了解CPLD设计的一般过程。

3.掌握Quartus II原理图输入设计的方法。

二、实验内容:

用原理图输入设计的方法设计一个与门,实现逻辑与运算。

三、实验原理、方法和手段:

与门实现逻辑与运算,A、B为输入值,C为输出值。具体运算规则为:A,B 同时为1,输出C的值为1,其它情况下,输出C的值为0。

四、实验组织运行要求:

1、学生在进行实验前必须进行充分的预习,熟悉实验内容;

2、学生拟定实验方案,编写相应的程序;

3、学生严格遵守实验室的各项规章制度,注意人身和设备安全,配合和服从实

验室人员管理;

4、教师在学生实验过程中予以必要的辅导,独立完成实验;

5、采用集中授课形式。

五、实验条件:

1、提供一台具有WINDOWS 98/2000/NT/XP操作系统的计算机;

2、提供Quartus II程序设计环境。

六、实验步骤:

1. 点击“Quartus II”菜单下的“Graphic Editor”菜单项,调用Quartus II图形编辑器,输入以下的逻辑电路

2. 点击“Quartus II”菜单下的“Compiler”菜单项,调用编辑器,对输入的逻辑电路进行编译。

3. 点击“Quartus II”菜单下的“Waveform Editor”菜单项,调用波形编辑器,生成波形图,并点击Node菜单下的“Enter Nodes form SNF”菜单项,对波形图中的输入输出节点进行设置。

4. 点击“Quartus II”菜单下的“Simulator”菜单项,进行仿真,在上面生成的波形图上反映仿真结果。

七、实验报告要求:

1、实验前预习实验的原理、内容以及实验的步骤。

2、截取仿真波形图。

3、填写下列真值表。

输入线输出线

A B C

0 0

0 1

1 0

1 1

实验二1对4解多任务器设计

实验学时:1

实验类型:验证

实验要求:必修

一、实验目的:

1. 掌握组合逻辑电路的设计方法。

2. 掌握Quartus II VHDL文本输入的方法。

3. 体会原理图输入法和文本输入法的不同。

二、实验内容:

使用Quartus II软件,采用VHDL文本输入的方法设计一个1对4解多任务器。

三、实验原理、方法和手段:

1解多任务器可将单一输入线上的信号传送到多个可能的输出线上。1解多任务器主要分为三部分:控制线,数据线与输出线。

1对4解多任务器有2条控制线:S1、S0;

1条数据线:D;

4条输出线:Y0、Y1、Y2、Y3。

1对4解多任务器真值表如下:

控制线输出线

S1 S0 Y0 Y1 Y2 Y3

0 0 D 0 0 0

0 1 0 D 0 0

1 0 0 0 D 0

1 1 0 0 0 D

布尔方程:

010 110 210 310

Y S S D Y S S D Y S S D Y S S D

=??

=??

=??

=??

1对4解多任务器的原理图如下:

四、实验组织运行要求:

1、学生在进行实验前必须进行充分的预习,熟悉实验内容;

2、学生拟定实验方案,编写相应的程序;

3、学生严格遵守实验室的各项规章制度,注意人身和设备安全,配合和服从实

验室人员管理;

4、教师在学生实验过程中予以必要的辅导,独立完成实验;

5、采用集中授课形式。

五、实验条件:

1、提供一台具有WINDOWS 98/2000/NT/XP操作系统的计算机;

2、提供Quartus II程序设计环境。

六、实验步骤:

1、点击“Quartus II”菜单下的“Text Editor file”菜单项,调用Quartus II文本编辑器,输入程序。

2、点击“Quartus II”菜单下的“Compiler”菜单项,调用编辑器,对输入的逻辑电路进行编译。

3. 点击“Quartus II”菜单下的“Waveform Editor”菜单项,调用波形编辑器,生成波形图,并点击Node菜单下的“Enter Nodes form SNF”菜单项,对波形图中的输入输出节点进行设置。

4. 点击“Quartus II”菜单下的“Simulator”菜单项,进行仿真,在上面生成的波形图上反映仿真结果。

七、思考题:

读懂例程序,并填写空格:

程序:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY demulti_4 IS

PORT( D : IN STD_LOGIC;

S : IN STD_LOGIC_VECTOR(1 downto 0);

Y0,Y1,Y2,Y3 : OUT STD_LOGIC);

END demulti_4;

ARCHITECTURE ex2 OF demulti_4 IS

BEGIN

PROCESS(S,D)

BEGIN

CASE S IS

WHEN "00" => Y0<=D;Y1<='0’; Y2<='0'; Y3<='0';

WHEN "01" => Y1<=D;Y0<='0'; Y2<='0'; Y3<='0';

WHEN "10" => Y2<=D;Y0<='0'; Y1<='0'; Y3<='0';

WHEN others => Y3<=D;Y0<='0'; Y1<='0'; Y2<='0';

END CASE;

END PROCESS;

END ex2;

八、实验报告要求

1、实验前预习实验的原理、内容以及实验的步骤。

2、截取仿真图形,并给出必要说明。

3、在调试过程中若出现问题,说明是何原因以及如何解决。

4、简要总结原理图输入与文本输入法的不同。

实验三全加器

实验学时:2

实验类型:验证

实验要求:必修

一、实验目的:

1、掌握全加器的原理和功能。

2、学会使用Quartus II设计全加器。

二、实验内容:

使用Quartus II软件,采用VHDL文本输入的方法设计一个全加器,调试程序并仿真结果。

三、实验原理、方法和手段:

由于半加器无法处理进位的问题,因此必须使用到全加器。当两二进制数相加时,较高的高位相加时必须加入较低位的进位项,以得到输出为和(Sum)和进位(Carry),因此有三个输入项,而输出同样为两项。

●端口:输入线端口3个 A、B、Ci

输出线端口2个 S、Co

●布尔方程式:S=A⊕B⊕Ci

C=A·B+B·Ci+A·Ci

四、实验组织运行要求:

1、学生在进行实验前必须进行充分的预习,熟悉实验内容;

2、学生拟定实验方案,编写相应的程序;

3、学生严格遵守实验室的各项规章制度,注意人身和设备安全,配合和服从实

验室人员管理;

4、教师在学生实验过程中予以必要的辅导,独立完成实验;

5、采用集中授课形式。

五、实验条件:

1、提供一台具有WINDOWS 98/2000/NT/XP操作系统的计算机;

2、提供Quartus II程序设计环境。

六、实验步骤:

1、点击“Quartus II”菜单下的“Text Editor file”菜单项,调用Quartus II文本编辑器,输入程序。

2、点击“Quartus II”菜单下的“Compiler”菜单项,调用编辑器,对输入的逻辑电路进行编译。

3. 点击“Quartus II”菜单下的“Waveform Editor”菜单项,调用波形编辑器,生成波形图,并点击Node菜单下的“Enter Nodes form SNF”菜单项,对波形图中的输入输出节点进行设置。

4. 点击“Quartus II”菜单下的“Simulator”菜单项,进行仿真,在上面生成的波形图上反映仿真结果。

七、思考题:

读懂例程序,并填写空格:

程序:

LIBRARY ieee;

USE ieee.std_logic_1164.all;

USE ieee.std_logic_unsigned.all;

ENTITY fadd IS

PORT (A, B, Ci : IN STD_LOGIC;

S, Co : OUT STD_LOGIC);

END fadd;

ARCHITECTURE ex3 OF fadd IS

BEGIN

S<=A xor B xor Ci;

Co<=co<=((a AND b) OR ((a XOR b)AND ci));

END ex3;

八、实验报告要求

1、实验前预习实验的原理、内容以及实验的步骤。

2、截取仿真图形,给出必要说明。

3、在调试过程中若出现问题,说明是何原因以及如何解决。

实验四四位加减法器

实验学时:2

实验类型:验证

实验要求:必修

一、实验目的:

1、掌握四位加减法器的原理和功能。

2、学会使用Quartus II设计四位加法器。

二、实验内容:

使用Quartus II软件,采用VHDL文本输入的方法设计一个四位加减法器,调试程序并仿真结果。

三、实验原理、方法和手段:

二进制的加减法,其位数是由左至右排列,最低有效位在最右边,最高有效元在最左边,并行相加串行进位的方式来完成,即任1位的加法运算必须在低1位的运算完成之后才能进行。

四位的加法器的结构如下图:

上图的四位加法器的数据端口有:

输入数据线端口8个 A3、A2、A1、A0、

B3、B2、B1、B0

输出线端口5个 Cout、S3、S2、S1、S0

其布尔方程式为:

S=A⊕B⊕Ci

Cout=A·B+B·Ci+A·Ci

SUB为控制端。

四、实验组织运行要求:

1、学生在进行实验前必须进行充分的预习,熟悉实验内容;

2、学生拟定实验方案,编写相应的程序;

3、学生严格遵守实验室的各项规章制度,注意人身和设备安全,配合和服从实

验室人员管理;

4、教师在学生实验过程中予以必要的辅导,独立完成实验;

5、采用集中授课形式。

五、实验条件:

1、提供一台具有WINDOWS 98/2000/NT/XP操作系统的计算机。

2、提供Quartus II程序设计环境。

六、实验步骤:

1、点击“Quartus II”菜单下的“Text Editor file”菜单项,调用Quartus II文本编辑器,输入程序。

2、点击“Quartus II”菜单下的“Compiler”菜单项,调用编辑器,对输入的逻辑电路进行编译。

3. 点击“Quartus II”菜单下的“Waveform Editor”菜单项,调用波形编辑器,生成波形图,并点击Node菜单下的“Enter Nodes form SNF”菜单项,对波形图中的输入输出节点进行设置。

4. 点击“Quartus II”菜单下的“Simulator”菜单项,进行仿真,在上面生成的波形图上反映仿真结果。

七、思考题:

读懂例程序,并填写空格:

程序:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_unsigned.ALL;

ENTITY addsub4 IS

PORT (Add : IN STD_LOGIC;

A,B : IN STD_LOGIC_VECTOR(3 DOWNTO 0);

S : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);

Co : OUT STD_LOGIC

);

END addsub4 ;

ARCHITECTURE ex4 OF addsub4 IS

SIGNAL temp:STD_LOGIC_VECTOR(4 DOWNTO 0);

BEGIN

PROCESS(Add,A,B)

BEGIN

IF Add='0' THEN

temp <= A - B;

ELSE

temp<= ;

END IF;

S<=temp(3 downto 0);

Co<=temp(4);

END PROCESS;

END;

八、实验报告要求

1、实验前预习实验的原理、内容以及实验的步骤。

2、截取仿真图形并给出必要说明。

3、在调试过程中若出现问题,说明是何原因以及如何解决。

实验五状态机

实验学时:2

实验类型:验证

实验要求:必修

一、实验目的:

1、掌握时序逻辑电路的设计方法。

2、掌握状态机的组成及工作原理。

二、实验内容:

使用Quartus II软件,采用VHDL文本输入的方法设计一个状态机,调试程序并仿真结果。

三、实验原理、方法和手段:

状态机是一个序向电路,其输出状态按一定规则的方式循环。本实验的状态机为二个状态的状态机。

其输入线端口有3个:Qin、clk、reset;

输出线端口有1个:Yout。

真值表:

上次状态控制线输出状态输出线

clk reset Qin Yout x x 1 x S0 0

S0 ↑0 1 S1 1

S0 ↑0 0 S1 1

S1 ↑0 1 S0 0

S1 ↑0 0 S1 1

四、实验组织运行要求:

1、学生在进行实验前必须进行充分的预习,熟悉实验内容;

2、学生拟定实验方案,编写相应的程序;

3、学生严格遵守实验室的各项规章制度,注意人身和设备安全,配合和服从实

验室人员管理;

4、教师在学生实验过程中予以必要的辅导,独立完成实验;

5、采用集中授课形式。

五、实验条件:

1、提供一台具有WINDOWS 98/2000/NT/XP操作系统的计算机;

2、提供Quartus II程序设计环境。

六、实验步骤:

1、点击“Quartus II”菜单下的“Text Editor file”菜单项,调用Quartus II文本编辑器,输入程序。

2、点击“Quartus II”菜单下的“Compiler”菜单项,调用编辑器,对输入的逻辑电路进行编译。

3. 点击“Quartus II”菜单下的“Waveform Editor”菜单项,调用波形编辑器,生成波形图,并点击Node菜单下的“Enter Nodes form SNF”菜单项,对波形图中的输入输出节点进行设置。

4. 点击“Quartus II”菜单下的“Simulator”菜单项,进行仿真,在上面生成的波形图上反映仿真结果。

七、思考题:

读懂例程序,并填写空格:

程序

LIBRARY ieee;

USE ieee.std_logic_1164.all;

ENTITY state IS

PORT( clk, reset, Qin : IN STD_LOGIC;

Yout : OUT STD_LOGIC);

END state ;

ARCHITECTURE ex5 OF state IS

TYPE STATE_TYPE IS (S0, S1);

SIGNAL state: STATE_TYPE;

BEGIN

PROCESS (clk)

BEGIN

IF reset = '1' THEN state <= S0;

ELSIF clk'EVENT AND clk = '1' THEN

CASE state IS

WHEN S0=>

state <= S1;

WHEN others =>

IF (Qin='1') THEN

state <= S0;

ELSEIF (Qin=’0’) THEN

State <= S1;

END IF;

END CASE;

END IF;

END PROCESS;

WITH state SELECT

Yout <= '0' WHEN S0,

'1' WHEN S1;

END ex5;

八、实验报告要求

1、实验前预习实验的原理、内容以及实验的步骤。

2、截取仿真图形并给出必要说明。

3、在调试过程中若出现问题,说明是何原因以及如何解决。

实验六十进制计数器

实验学时:2

实验类型:验证

实验要求:必修

一、实验目的:

1.掌握十进制计数器的原理和功能。

2.使用Quartus II设计十进制计数器。

二、实验内容:

使用Quartus II软件,采用VHDL文本输入的方法设计一个十进制计数器,调试程序并仿真结果。

三、实验原理、方法和手段:

十进制计数器是日常生活中最方便的使用的计数器,它也是以二进制自然数顺序计数的。

●其脚位有:

控制线端口5个 Clrn、Ent、Enp、Load、Clk;

数据输入线端口 D3 D2 D1 D0;

数据输出线端口 Q3 Q2 Q1 Q0;

串接进位线端口1个 Co=Q3 AND Q0 AND Ent;

真值表:

Enable D[3..0] Q[3..0]

Clk Clrn Load

Ent Enp D3 D2 D1 D0 Q3 Q2 Q1 Q0

↑0 X X X X X X X 0 0 0 0

↑ 1 0 X X A B C D A B C D

↑ 1 1 0 1 X X X X Q(不变)

↑ 1 1 1 0 X X X X Q(不变)

↑ 1 1 1 1 X X X X Q=Q+1

(最大为“1001”)

四、实验组织运行要求:

1、学生在进行实验前必须进行充分的预习,熟悉实验内容;

2、学生拟定实验方案,编写相应的程序;

3、学生严格遵守实验室的各项规章制度,注意人身和设备安全,配合和服从实

验室人员管理;

4、教师在学生实验过程中予以必要的辅导,独立完成实验;

5、采用集中授课形式。

五、实验条件:

1、提供一台具有WINDOWS 98/2000/NT/XP操作系统的计算机;

2、提供Quartus II程序设计环境。

六、实验步骤:

1、点击“Quartus II”菜单下的“Text Editor file”菜单项,调用Quartus II文本编辑器,输入程序。

2、点击“Quartus II”菜单下的“Compiler”菜单项,调用编辑器,对输入的逻辑电路进行编译。

3. 点击“Quartus II”菜单下的“Waveform Editor”菜单项,调用波形编辑器,生成波形图,并点击Node菜单下的“Enter Nodes form SNF”菜单项,对波形图中的输入输出节点进行设置。

4. 点击“Quartus II”菜单下的“Simulator”菜单项,进行仿真,在上面生成的波形图上反映仿真结果。

七、思考题:

读懂例程序,并填写空格:

程序 :

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity ex6 is

port(Load, Ent, Enp, Clrn, Clk : In std_logic;

D : in std_logic_vector(3 downto 0);

Q : out std_logic_vector(3 downto 0);

C0 : out std_logic );

end ex6;

architecture a of ex6 is

begin

process(Clk)

variable tmp :std_logic_vector(3 downto 0);

begin

if(Clk'event and Clk='1')then

if Clrn='0' then tmp:="0000";

else if Load='0' then tmp:=D;

else if(Ent and Enp)='1' then

if tmp="1001" then tmp:="0000";

else Q<=Q+1;

end if;

end if;

end if;

end if;

Q<=tmp;C0<=(tmp(0) and tmp(3) and Ent);

end if;

end process;

end a;

《EDA》实验指导书2013-6-1

辽东学院自编教材 《可编程逻辑器件原理及应用实验》指导书 李海成编 (计算机科学与技术、电子信息工程专业用) 姓名: 学号: 班级: 信息技术学院 2013年6月

目录 目录 (1) 实验一MAX+PLUS-II设计三八译码器......... 错误!未定义书签。实验二半加器 . (2) 实验三带进位输入的8位加法器 (4) 实验四数据比较器 (6) 实验五编码器 (9) 实验六组合逻辑电路的设计 (12) 实验七计数器 (14) 实验八触发器功能的模拟实现 (17)

(被加数)Ai (被加数)Bi (半加和)Hi (本位进位)Ci 实验二 半加器 实验类型: 验证性 实验课时: 2 指导教师: 李海成 时 间:201 年 月 日 课 次:第 节 教学周次:第 周 实验分室: 实验台号: 实 验 员: 一、 实验目的 1.设计并实验一个一位半加器 2.掌握CPLD/FPGA 组合逻辑设计基本方法。 二、 实验原理 计算机中数的操作都是以二进制进位的,最基本的运算就是加法运算。按照进位是否加入,加法器分为半加器和全加器电路两种。计算机中的异或指令的功能就是求两个操作数各位的半加和。一位半加器有两个输入、输出,如图2-1。 图2-1 一位半加器示意图 表2-1 一个半加大路的真值表如表2-1所示,根据真值表可得到半加器的函数表达式: Bi Ai Bi Ai Hi ?+?= Bi Ai Ci ?= 三、 实验连线 半加器的两个输入所对应的管脚同两位拨码开关相连,两个输入管脚名为a 、b ;两个输出所对应的管脚同两位发光二极管相连,两个输出管脚名为 c0和s,其中c0表示进位, s 表示相加结果。 四、

EDA实验指导书

实验一上机学习电路原理图的绘制(2) 一、设计目的 1. 掌握PROTEL软件的安装、运行及卸载,掌握Protel 99 SE的基本操作; 2. 掌握设计管理器的使用和设计环境的设置,熟悉常用元件库和各主要菜单及命令的使用; 3.学习电路原理图的基本绘图方法 二、设计内容 1.设置原理图的环境参数,添加相应的元件库文件 2.绘制课本P92页的一个D/A功能模块电路图,其中由一片12位的D/A、两片运放、一些电阻和电容组成 图1-1 实验1电路原理图实例 三、设计设备和仪器 1.计算机 1 台(CPU要求Pentium 166MHz以上,推荐内存应为16MB以上,显示器分辨率为800×600(或1024×768)模式。) 2.Protel 99SE 软件 四、设计方法 根据电路图加载相应的元件库文件,然后选择放置电子元件,编辑各元件并精确调整元件位置。对放置好的元件根据例图连接导线,绘制总线和总线出入端口,放置网络标号及电源和输入输出端口。最后放置注释文字。 五、实验步骤 (1)新建名为自己学号姓名的设计数据库 点击“NEW新建”新建数据库文件 在上图所示的选项栏里设置名为自己姓名学号的数据库文件 (2)建立名为自己姓名的原理图文件

点击上图所示图标建立名为自己姓名的原理图文件(3)进入原理图设计环境,修改文件名并修改图纸大小为A4 点击下图中“Options”选项设置图纸大小 (4)加载常用元件库 (5)从元件库中选出需用元件放在原理图设计工作面上 (6)利用绘图工具对所有元器件进行连线 最终原理图如图所示。 六、设计报告 1.明确实验目的和实验要求; 2.写出详细的实验内容和步骤; 3.写出实验中遇到的问题及改正的方法 七、注意事项 熟悉绘图工具的功能和用法是绘制好电路原理图的关键。

EDA实验指导书

实验一 MAX+PLUSII软件的使用 [实验目的] 掌握MAX+PLUSII软件的使用。 [实验内容] 学习MAX+PLUSII软件的设计操作步骤。 [实验原理] MAX+PLUSII软件介绍。 MAX+PLUSII软件功能简介: 1 原理图输入(Graphic Editor) MAX+PLUSII软件具有图形输入能力,用户可以方便的使用图形编辑器输入电路图,图中的元器件可以调用元件库中元器件,除调用库中的元件以外,还可以调用该软件中的符号功能形成的功能块。 2 硬件描述语言输入(Text Editor) MAX+PLUSII软件中有一个集成的文本编辑器,该编辑器支持VHDL,AHDL和Verilog硬件描述语言的输入,同时还有一个语言模板使输入程序语言更加方便,该软件可以对这些程序语言进行编译并形成可以下载配置数据。 3 波形编辑器(waveform Editor) 在进行逻辑电路的行为仿真时,需要在所设计电路的输入端加入一定的波形,波形编辑器可以生成和编辑仿真用的波形(*.SCF文件),使用该编辑器的工具条可以容易方便的生成波形和编辑波形。 4 编译与仿真 当设计文件被编译好,并在波形编辑器中将输入波形编辑完毕后,就可以进行行为仿真了,通过仿真可以检验设计的逻辑关系是否准确。 5 器件编程 当设计全部完成后,就可以将形成的目标文件下载到芯片中,实际验证设计的准确性。[实验步骤] 设计过程如下: 1)输入项目文件名(File/Project/Name) 2)输入源文件(图形、VHDL、AHDL、Verlog和波形输入方式) (Max+plusⅡ/graphic Editor, Max+plusⅡ/Text Editor, Max+plusⅡ/Waveform Editor) 3)指定CPLD型号(Assign/Device) 4)设置管脚、下载方式和逻辑综合的方式 (Assign/Global Project Device Option,Assign/Global Logic Synthesis) 5)保存并检查源文件(File/project/Save & Check) 6)指定管脚(Max+plusⅡ/Floorplan Editor) 7)保存和编译源文件(File/project/Save & Compile) 8)生成波形文件(Max+plusⅡ/Waveform Editor) 9)仿真(Max+plusⅡ/Simulator) 10)下载配置(Max+plusⅡ/Programmer) [实验报告要求] 不做要求。 实验二简单组合逻辑电路设计 [实验目的] 1 通过本实验提供的实例,掌握组合逻辑电路的设计方法。

09EDA实验指导书

EDA实验指导书

目录 实验一基于QUARTUSII图形输入电路的设计 (2) 实验二含异步清零和同步使能的加法计数器 (5) 实验三图形和VHDL混合输入的电路设计 (7) 实验四矩阵键盘接口电路的设计 (10) 实验五交通灯控制电路实验 (16) 附图EP1K10TC100管脚图 (24) 主芯片:ACEX 1K 系列的EP1K10TC100-3 下载电缆:Byte Blaster II

实验一基于QUARTUSII图形输入电路的设计 一、实验目的 1、通过一个简单的3线—8线译码器的设计,掌握组合逻辑电路的设计方法。 2、初步了解QUARTUSII原理图输入设计的全过程。 3、掌握组合逻辑电路的静态测试方法。 二、实验原理 3线-8线译码器三输入,八输出。当输入信号按二进制方式的表示值为N时,输出端标号为N的输出端输出高电平表示有信号产生,而其它则为低电平表示无信号产生。因为三个输入端能产生的组合状态有八种,所以输出端在每种组合中仅有一位为高电平的情况下,能表示所有的输入组合。其真值表如表1-1所示 输入输出 D2 D1 D0 Y7 Y6 Y5 Y4 Y3 Y2 Y1 Y0 0 0 0 0 0 0 0 0 0 0 1 0 0 1 0 0 0 0 0 0 1 0 0 1 0 0 0 0 0 0 1 0 0 0 1 1 0 0 0 0 1 0 0 0 1 0 0 0 0 0 1 0 0 0 0 1 0 1 0 0 1 0 0 0 0 0 1 1 0 0 1 0 0 0 0 0 0 1 1 1 1 0 0 0 0 0 0 0 表1-1 3线-8线译码器真值表 译码器不需要像编码器那样用一个输出端指示输出是否有效。但可以在输入中加入一个输出使能端,用来指示是否将当前的输入进行有效的译码,当使 能端指示输入信号无效或不用对当前信号进行译码时,输出端全为高电平,表 示无任何信号。本例设计中没有考虑使能输入端,自己设计时可以考虑加入使 能输入端时,程序如何设计。 三、实验内容 在本实验中,用三个拨动开关来表示3线-8线译码器的三个输入(D2-D0);用

EDA实验指导书

ED心验指导书齐鲁理工学院

目录 实验一Protel DXP 2004认识实验 0 实验二两级阻容耦合三极管放大电路原理图设计 0 实验三原理图元件库建立与调用 (2) 实验四两级阻容耦合三极管放大电路PCB图设计............................ .4实验五集成电路的逻辑功能测试.. (6) 实验六组合逻辑电路分析与设计............................................... 1.1实验七Quartus II的使用 ................................................. 1.6实验八组合逻辑器件设计. (16) 实验九组合电路设计 (24)

实验一Protel DXP 2004 认识实验 一、实验目的 1. 掌握Protel DXP 2004的安装、启动和关闭。 2. 了解Protel DXP 2004主窗口的组成和各部分的作用。 3. 掌握Protel DXP 2004工程和文件的新建、保存、打开。 二、实验内容与步骤 1、Protel_DXP_2004 的安装 (1) 用虚拟光驱软件打开Protel_DXP_2004.iso 文件 (2) 运行setup\Setup.exe 文件,安装Protel DXP 2004 (3) 运行破解程序后,点击导入模版”,先导入一个ini文件模版(如果要生成单机版的License选择Unified Nexar-Protel License.ini;要生成网络版的License选择Unified Nexar-Protel Network License.ini ),然后修改里面的参数:TransactorName=Your Name (将"Your Name替换为你想要注册的用户名);SerialNumber=0000000 (如果你只有一台计算 机,那么这个可以不用修改,如果有两台以上的计算机且连成局域网,那么请保证每个License文件中的SerialNumber=为不同的值。修改完成后点击生成协议文件",任意输入一 个文件名(文件后缀为.alf)保存,程序会在相应目录中生成1个License文件。点击替换密钥”,选取DXP.exe (在DXP 2004安装目录里,默认路径为),程序会自动替换文件中的公开密钥。将前面生成的License文件拷贝至DXP 2004安装目录里(默认路径为)授权完成。 (4) 打开Protel 在左上角DXP 菜单下的Preference 菜单项里,选中Use localize resources后关闭Protel_DXP_2004 ,重新打开软件变为简体中文版本。 2、Protel_DXP_2004 的卸载 卸载Protel_DXP_2004的具体步骤如下: (1) 在Windows的“开始”菜单中选择“设置/控制面板”,然后在控制面板中选择“添加/删除程序”选项,将弹出对话框。从中选择DXP 2004应用软件。 (2) 单击删除”按钮,将弹出对话框,询问用户是否真的要删除程序。 (3) 单击“是”按钮,开始卸载。在卸载过程中,若想终止卸载,可单击“取消”按

EDA实验指导书new_Quartus2

EDA技术实验手册及程序代码 物理与信息项目学院 学号:111000228 姓名:汪艺彬 注意事项 1、本实验手册是为了配合《EDA技术实用教程》,作为本课程实验环节的补充 指导而编制。 2、实验中涉及的QuartusⅡ软件的使用请参考 《EDA技术实用教程》中有关章节。 手册中所有的虚线空白框,都留出来作为实验记录之用,每个实验完成后,应按照实验内容的要求将实验结果记入框中。 4、每个实验后面都附有一道思考题,完成实验内容后可以作为更进一步的练习 。 5、每次实验后将手册相关部分<完成实验结果记录)和实验源代码<.vhd文件) 一起,作为实验报告上交。 6、课程结束后请将所有报告按顺序加封面装订好上交,作为实验部分成绩计入 总成绩。 实验一利用原理图输入法设计4位全加器一、实验目的: 熟悉如何在QuartusⅡ集成环境下利用原理图输入设计简单组合逻辑电路,掌握层次化的电路设计方法。 二、实验原理: 一个4位全加器可以由4个一位全加器构成,加法器间的进位可以串行方式实现,即将低位加法器的进位输出cout与相邻的高位加法器的进位输入信号cin相接。 三、实验内容: 1.QuartusII软件的熟悉

熟悉QuartusⅡ环境下原理图的设计方法和流程,可参考课本5.4节的内容,重点掌握层次化的设计方法。 2.设计1位全加器原理图 设计的原理图如下所示 3.利用层次化原理图方法设计4位全加器 <1)生成新的空白原理图,作为4位全加器设计输入 <2)利用已经生成的1位全加器作为电路单元,设计4位全加器的原理图,如下所示 4、设计一个超前进位4位全加器 以上设计的全加器是基于串行进位的结构,高位的进位输入必须等待低位的运算结果,造成较长的延时。通过对进位位进行超前运算,可以缩短这部分的延时。 在已有1位全加器的基础上设计一个具有超前进位结构的4位全加器,原理图如下所示 5、完成设计流程

EDA实验箱实验指导书

实验二流水灯 1.实验目的 通过本实验让学生进一步了解、熟悉和掌握CPLD/FPGA开发软件的使用方法及VHDL 语言的编程方法;学习简单的时序电路的设计和硬件测试。 2.实验内容 本实验的内容是控制实验箱上的发光二极管LED1—LED8,使之实现流水灯显示。3.实验原理 在LED1~LED8引脚上周期性地输出流水数据,即输出的数据依次为11111111、11111110、11111100、11111000、11110000、11100000、11000000、10000000、00000000,如此循环显示,输出数据“0”,表示点亮相应的LED小灯。为了方便观察,流水的速率控制在2Hz左右。在核心板上有一个48MHz的标准时钟源,该时钟源与芯片EP2C5的23脚相连。为了产生2Hz的时钟源,在此调用了分频模块int_div。 4.实验步骤 (1)启动Quartus II,建立一个空白工程,然后命名为led_waterflow.qpf。 (2)新建ledwater.vhd源程序文件,源代码如下。然后进行综合编译。若在编译过程中发现错误,则找出并更正错误,直到编译成功为止。生产符号文件ledwater.bsf (File→ Create/_Update → Create Symbol Files for Current File)。 流水灯程序参考 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_Arith.ALL; USE IEEE.STD_LOGIC_Unsigned.ALL; ENTITY ledwater IS PORT( clk: IN STD_LOGIC; led: OUT STD_LOGIC_VECTOR(7 DOWNTO 0) );END; ARCHITECTURE one OF ledwater IS SIGNAL led_r:STD_LOGIC_VECTOR(8 DOWNTO 0); BEGIN led<=led_r(7 DOWNTO 0); PROCESS(clk) BEGIN IF clk’event and clk=’1’ THEN led_r<=led_r(7 DOWNTO 0) & '0'; IF led_r="000000000" THEN --循环完毕吗? led_r<="111111111"; --是,则重新赋初值 END IF; END IF; END PROCESS; END; (3)将实验模块库里的int_div.vhd和int_div.bsf拷贝到工程目录下。

EDA实验指导书1

EDA实验指导书 天津大学仁爱学院 2011年9月30日

目录 1.实验一LED实验 (验证性实验) 2.实验二LED点阵实验 (综合性实验) 3.实验三LCD显示实验 (设计性实验)

实验一:LED实验 一、实验目的 1.熟悉ISE8.2开发环境,掌握工程的生成方法; 2.熟悉SEED-XDTK_V4实验环境; 二、实验内容 1.创建工程; 2.添加HDL资源文件; 3.配置一个应用程序完成设计。 三、实验准备 1.通过USB口下载电缆将计算机的USB口及SEED-FEM025板的J9连接好; 2.启动计算机,打开SEED-XDTK_V4实验箱电源开关。观察SEED-FEM025板上的+ 5V(D11)的电源指示灯是否均亮。若有不亮的,请断开电源,检查电源。 四、实验步骤 1.创建工程 1)双击桌面Xilinx ISE8.2快捷方式打开ISE工程管理器(Project Navigator); 2)打开Project Navigator后,选择File→New Project,弹出新建工程对话框; 3)在工程路径中单击“…”按钮,将工程指定到如下目录D:\02.V4_lab,单击确定; 4)在工程名称中输入led,点击Next按钮,如图1.1所示; 图1.1 5)弹出器件特性对话框。器件族类型(Device Family)选择“Virtex4”,器件型号(Device) 选“XC4VSX25FF668-10”,综合工具(Synthesis Tool)选“XST(VHDL/Verilog)”,仿真器(Simulator)选“ISE Simulator”,如图1.2;

EDA实验指导书全(Verilog版)

EDA实验指导书 熊利祥编 武汉理工大学华夏学院

2011年9月

前言 一、实验课目的 EDA实验课是电子工程类专业教学中重要的实践环节,包括了ISE开发环境基本操作及Verilog语言、组合逻辑电路设计、流水灯设计、计数器设计、扫描显示电路的驱动、综合层次性实验——交通灯或数字秒表设计实验。要求学生通过实验学会正确使用EDA技术,掌握FPGA器件的开发,熟练使用ISE开发环境,掌握Verilog 语言的编程,掌握数字电路和系统的设计。 通过实验,使学生加深对课堂专业教学内容的理解,培养学生理论联系实际的能力,实事求是,严谨的科学作风,使学生通过实验结果,利用所学的理论去分析研究EDA技术。培养学生使用EDA实验设备的能力以及运用实验方法解决实际问题的能力。 二、实验要求: 1.课前预习 ①认真阅读实验指导书,了解实验内容; ②认真阅读有关实验的理论知识; ③读懂程序代码。 2.实验过程 ①按时到达实验室; ②认真听取老师对实验内容及实验要求的讲解; ③认真进行实验的每一步,观察程序代码与仿真结果是否相符; ④将实验过程中程序代码和仿真结果提交给老师审查; ⑤做完实验后,整理实验设备,关闭实验开发板电源、电脑电源后方可离开。 3.实验报告 ①按要求认真填写实验报告书; ②认真分析实验结果; ③按时将实验报告交给老师批阅。

三、实验学生守则 1.保持室内整洁,不准随地吐痰、不准乱丢杂物、不准大声喧哗、不准吸烟、不准吃东西; 2.爱护公务,不得在实验桌及墙壁上书写刻画,不得擅自删除电脑里面的文件; 3.安全用电,严禁触及任何带电体的裸露部分,严禁带电接线和拆线; 4.任何规章或不按老师要求操作造成仪器设备损坏须论价赔偿。

EDA实验指导书_新2014(新)印刷

淮阴工学院EDA技术实验指导书 编者:叶小婷 电子与电气工程学院 2014年6月7日

目录 实验一基于QUARTUSII 图形输入电路的设计 (1) 实验二基于VHDL 格雷码编码器的设计 (16) 实验三含异步清零和同步使能的加法计数器 (18) 实验四八位七段数码管动态显示电路的设计 (20) 实验五数控分频器的设计 (22) 实验六图形和VHDL 混合输入的电路设计 (23) 实验七四位并行乘法器的设计 (26) 实验八基本触发器的设计 (28) 实验九四位全加器设计 (30) 实验十矩阵键盘显示电路的设计 (32) 实验十一用VHDL 设计七人表决器 (35) 实验十二用VHDL 设计四人抢答器 (37) 实验九熟悉PROTEL99环境 (39) 实验十原理图设计 (42) 实验十一元件制作与网络表操作 (44) 实验十二印刷电路板设计 (47) 附录一实验箱常用管脚分配表 (49) 附录二参考程序 (51)

实验一基于QUARTUSII 图形输入电路的设计 一、实验目的 1.通过一个简单的3—8译码器的设计,掌握组合逻辑电路的设计方法。 2.初步了解QUARTUSII 原理图输入设计的全过程。 3.掌握组合逻辑电路的静态测试方法。 二、实验设备 1.PC机一台; 2.Altera Blaster下载器一根; 3.THGSC-3型实验箱一台。 三、实验原理 3-8译码器三输入,八输出。当输入信号按二进制方式的表示值为N时,输出端标号为N 的输出端输出高电平表示有信号产生,而其它则为低电平表示无信号产生。因为三个输入端能产生的组合状态有八种,所以输出端在每种组合中仅有一位为高电平的情况下,能表示所有的输入组合。 译码器不需要像编码器那样用一个输出端指示输出是否有效。但可以在输入中加入一个输出使能端,用来指示是否将当前的输入进行有效的译码,当使能端指示输入信号无效或不用对当前信号进行译码时,输出端全为高电平,表示无任何信号。本例设计中没有考虑使能输入端,自己设计时可以考虑加入使能输入端时,程序如何设计。 四、实验容 在本实验中,用三个拨动开关(SW1~SW3)来表示三八译码器的三个输入(A、B、C);用八个LED 来表示三八译码器的八个输出(D1~D8)。通过输入不同的值来观察输入的结果与三八译码器的真值表是否一致。实验箱中的拨动开关,当开关闭合(拨动开关的档位在下方)时其输出为低电平,反之输出高电平。实验箱中的拨动开关与FPGA 的接口电路,LED 灯与FPGA 的接口电路以及拨动开关、LED 与FPGA 的管脚连接在用户手册中都做了详细说明,这里不再赘述。 五、实验步骤 下面将通过这个实验,向读者介绍QUARTUSII 的项目文件的生成、编译、管脚分配以及时序仿真等的操作过程。 1.建立工程文件 1)选择“开始>程序>Altera>QuartusII 9.0”,运行QUARTUSII 软件。或者双击桌面上的QUARTUSII 的图标运行QUARTUSII 软件,出现如图1-1 所示,如果是第一次打开QUARTUSII 软件可能会有其它的提示信息,使用者可以根据实际情况进行设定后进入图1-1 所示界面。 2)选择软件中的,新建一个工程。如图1-2所示。 3)点击图1-2 中的Next 进入工作目录,工程名的设定对话框如图1-3 所示。第一个输入框为工程目录输入框,用户可以输入如e:/eda 等工作路径来设定工程的目录,设定好后,所有的生成文件将放入这个工作目录。第二个输入框为工程名称输入框,第三个输入框为顶层实体名称输入框。用户可以设定如exp1,一般情况下工程名称与实体名称相同。使用者也可以根据自已的实际情况来设定。

FPGA设计实验指导书(2013)

《FPGA设计》实验指导书

安全操作注意事项 1、接插下载电缆前,请务必关闭实验箱开关,避免损坏下载电缆或实验箱器件。 2、操作过程中应防止静电。 3、保持实验箱和电路板的表面清洁。 4、小心轻放,避免不必要的硬件损伤或者人身受伤。 实验箱简介

实验一简单组合逻辑设计 一、实验目的和任务 1、熟习Quartus II软件的使用; 2、掌握用原理图输入法和硬件描述语言(Verilog HDL)两种方法来设计逻 辑电路; 3、通过电路的仿真及验证,进一步了解4选1数据选择器的功能; 二、实验内容 1、用原理图输入法来设计4选1数据选择器 参照按图1-1所示来编辑完成4选1数据选择器的原理图输入,其中a、b、c、d 为数据输入端,sel[1]、sel[0]为控制输入端,q为4选1数据输出端。存盘仿真后,观察仿真波形,以验证数据选择器的功能。 图1-1 4选1数据选择器原理图 2、用Verilog HDL硬件描述语言来设计4选1数据选择器 用QuartusII中的文本编辑器,编辑输入4选1数据选择器源程序:module m41( a, b, c, d, sel, q); input a,b,c,d; input [1:0]sel; output q; reg q; always @( sel) case(sel) 2’b00: q=a; 2’b01: q=b;

2’b11: q=d; endcase endmodule 程序中的a 、b 、c 、d 依然为数据输入端,sel[1]、sel[0]为控制输入端,q 为4选1数据输出端。同样存盘后进行仿真,并观察仿真波形,以验证数据选择器的功能。 三、实验仪器、设备及材料 电脑、EDA 软件、实验箱、下载电缆。 四、实验原理 4选1数据选择器的原理框图及真值表如图1-2及表1-1所示,sel[1:0]可能出现四种组合情况: 00 01 10 11,它分别对应选通四个不同的数据输入a 、b 、c 、d ,从q 端输出。结合以前所学数字电路的知识,可由真值表得出利用“与非门”实现的逻辑电路,进而可用QuartusII 原理图输入方法,设计出该4选1数据选择器;如应用EDA 技术所学的Verilog HDL 硬件描述语言来描述该电路功能,即可设计出该4选1数据选择器的源程序。 图1-2 4选1数据选择器的原理框图 q Sel[1]输出 选择输入 0a 01b 00 c 11 d 1 Sel[0]表1-1 真值表 五、重点、难点 d a b c

EDA技术与VHDL实验指导书

EDA技术与HDL 实验指导书 吉林大学珠海学院 二零一一年制定

目录 实验一:实验环境和平台的建立 (1) 实验二:组合逻辑电路设计 (12) 实验三:多层次设计 (14) 实验四:时序逻辑电路设计(一) (18) 实验五:时序逻辑电路设计(二) (20) 实验六:分频器的设计 (22) 实验七:通用移位寄存器的设计 (23) 实验八:数码管扫描显示的设计 (24) 实验九:正弦信号发生器的设计 (26) 实验十:序列检测器的设计 (36)

实验一:实验环境和平台的建立 一、实验目的: 熟悉Quartus II的VHDL文本设计流程,学习8-3编码器的设计、仿真。二、实验内容: 用VHDL编写8-3编码器的VHDL代码并仿真。 三、实验环境 PC 机(Pentium100 以上)、Altera Quartus II 6.0 CPLD/FPGA 集成开环境。 四、实验原理 在数字系统中,常常需要将某一信息(输入)变换为某一特定的代码(输出)。把二进制码按一定的规律排列,例如8421码、格雷码等,使每组代码具有一特定的含义(代表某个数字或是控制信号)称为编码。具有编码功能的逻辑电路称为编码器。编码器有若干个输入,在某一时刻只有一个输入被转换为二进制码。例如8线-3线编码器和10线-4线编码器分别有8输入、3位输出和10位输入、4位输出。8线-3线编码器的真值表见表1-1,管脚图如图1-1所示。 输入输出 A7 A6 A5 A4 A3 A2 A1 A0 Y2 Y1 Y0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 0 1 0 0 0 1 0 0 0 0 0 1 0 0 0 0 1 1 0 0 0 1 0 0 0 0 1 0 0 0 0 1 0 0 0 0 0 1 0 1 0 1 0 0 0 0 0 0 1 1 0 1 0 0 0 0 0 0 0 1 1 1 表1-1 8-3编码器真值表 图1-1 8-3编码器管脚图 五、实验步骤

最新EDA实验指导书汇总

E D A实验指导书

实验一 MAX+PLUSII软件的使用 [实验目的] 掌握MAX+PLUSII软件的使用。 [实验内容] 学习MAX+PLUSII软件的设计操作步骤。 [实验原理] MAX+PLUSII软件介绍。 MAX+PLUSII软件功能简介: 1 原理图输入(Graphic Editor) MAX+PLUSII软件具有图形输入能力,用户可以方便的使用图形编辑器输入 电路图,图中的元器件可以调用元件库中元器件,除调用库中的元件以外,还可以调用该软件中的符号功能形成的功能块。 2 硬件描述语言输入(Text Editor) MAX+PLUSII软件中有一个集成的文本编辑器,该编辑器支持VHDL,AHDL和Verilog硬件描述语言的输入,同时还有一个语言模板使输入程序语言更加方便,该软件可以对这些程序语言进行编译并形成可以下载配置数据。 3 波形编辑器(waveform Editor) 在进行逻辑电路的行为仿真时,需要在所设计电路的输入端加入一定的波形,波形编辑器可以生成和编辑仿真用的波形(*.SCF文件),使用该编辑器 的工具条可以容易方便的生成波形和编辑波形。 4 编译与仿真 当设计文件被编译好,并在波形编辑器中将输入波形编辑完毕后,就可以进行行为仿真了,通过仿真可以检验设计的逻辑关系是否准确。 5 器件编程

当设计全部完成后,就可以将形成的目标文件下载到芯片中,实际验证设计的准确性。 [实验步骤] 设计过程如下: 1)输入项目文件名(File/Project/Name) 2)输入源文件(图形、VHDL、AHDL、Verlog和波形输入方式) (Max+plusⅡ/graphic Editor, Max+plusⅡ/Text Editor, Max+plusⅡ /Waveform Editor) 3)指定CPLD型号(Assign/Device) 4)设置管脚、下载方式和逻辑综合的方式 (Assign/Global Project Device Option,Assign/Global Logic Synthesis) 5)保存并检查源文件(File/project/Save & Check) 6)指定管脚(Max+plusⅡ/Floorplan Editor) 7)保存和编译源文件(File/project/Save & Compile) 8)生成波形文件(Max+plusⅡ/Waveform Editor) 9)仿真(Max+plusⅡ/Simulator) 10)下载配置(Max+plusⅡ/Programmer) [实验报告要求] 不做要求。 实验二简单组合逻辑电路设计 [实验目的] 1 通过本实验提供的实例,掌握组合逻辑电路的设计方法。 2 初步了解PLD设计的全过程和相关软件的使用。

EDA实验指导书

实验一组合逻辑电路设计 一、实验目的 1、通过一个简单的4选1的设计,让学生掌握QUARTUSII设计工具进行电子设计的基本流程。 2、初步了解可编程器件设计的全过程。 二、主要仪器设备 EDA实验系统一台,PC一台 三、实验步骤 1、建立工程文件 1)选择开始>程序>Altera>QuartusII13.1,运行QUARTUSII软件。或者双击桌面上 的QUARTUSII的图标运行QUARTUSII软件,出现如图1-3所示,如果是第一次打开QUARTUSII软件可能会有其它的提示信息,使用者可以根据自己的实际情况进行设定后进入图1-1所示界面。 图1-1 QUARTUSII软件运行界面 2)选择软件中的菜单File>New Project Wizard,新建一个工程。如图1-2所示。 3)点击图1-2中的NEXT进入工作目录,工程名的设定对话框如图1-3所示。第一个输入框为工程目录输入框,用户可以输入如e:/altera/work等工作路径来设定工程的目录,设定好后,所有的生成文件将放入这个工作目录。第二个输入框为工程名称输入框,第三个输入框为顶层实体名称输入框。用户可以设定如MUX41a,一般情况下工程名称与实体名称相同。使用者也可以根据自已的实际情况来设定。

图1-2 新建工程对话框 图1-3 指定工程名称及工作目录 4)点击NEXT,进入下一个设定对话框,按默认选项直接点击NEXT进行器件选择对话框。如图1-4所示。这里我们以选用Cyclone系列芯片EP5CSEMA5F31为例进行 介绍。用户可以根据使用的不同芯片来进行设定,其方法基本一致。

图1-4 器件选择界面 首先在对话框的左上方的Family下拉菜单中选取Cyclone V(E/GX/GT/SX/SE/ST),在中间右边的Speed grade下拉菜单中选取6,在左下方的Available devices框中选取EP5CSEMA5F31C6,点击NEXT完成器件的选取,进入EDA TOOL设定界面如图1-5 所示。 图1-5 EDA TOOL对话框 5)按默认选项,点击NEXT出现新建工程以前所有的设定信息,如图1-6所示,点 击FINISH完成新建工程的建立。

EDA技术实验指导书

《EDA技术》实验指导书 面向专业:通信工程 信息工程 自动化 电子信息工程 电气工程及其自动化 信息与通信工程学院 2016年9月

前言 一、课程性质 本课程是电子信息工程、通信工程、信息工程和自动化专业必修的专业实验课程。通过本课程的教学,使学生掌握EDA技术的开发流程,学会利用以硬件描述语言为描述工具,以可编程逻辑器件为实现载体,在数字系统设计领域熟练应用EDA技术,使其具备研究和开发现代数字系统的能力。 二、专业安排 本系统分为多个模块,适合通信工程、信息工程、自动化、电子信息工程、电气工程及其自动化等专业使用。 三、本书特点 本实验指导书的特点是引入工程项目机制来管理实验项目,着重培养学生的方案设计、算法分析和现场调试能力,为培养卓越工程师打下坚实的基础。

目录 前言............................................................................................................................. I 第一章实验系统.. (1) 1.1 系统整体结构 (1) 1.2 核心板 (1) 1.3 基础扩展模块 (2) 1.4 自动控制模块 (3) 1.5 信号处理模块 (3) 1.6 通信接口模块 (4) 第二章开发平台简介 (5) 2.1 Quartus II简介 (5) 2.2 Quartus II开发流程 (5) 第三章实验项目 (9) 实验1 平台应用及全加器设计 (9) 实验2 信号发生器设计 (11) 实验3 数字电压表设计 (13) 实验4 数字频率计设计 (16) 实验5 交通灯控制器设计 (19)

EDA实验指导书

目录 实验一Protel DXP 2004认识实验 0 实验二两级阻容耦合三极管放大电路原理图设计 0 实验三原理图元件库建立与调用 (2) 实验四两级阻容耦合三极管放大电路PCB图设计 (4) 实验五集成电路的逻辑功能测试 (6) 实验六组合逻辑电路分析与设计 (11) 实验七Quartus II 的使用 (16) 实验八组合逻辑器件设计 (16) 实验九组合电路设计 (24)

实验一 Protel DXP 2004 认识实验 一、实验目的 1.掌握Prot e l DXP 2004 的安装、启动和关闭。 2.了解Protel DXP 2004 主窗口的组成和各部分的作用。 3.掌握Prot e l DXP 2004 工程和文件的新建、保存、打开。 二、实验内容与步骤 1、Protel_DXP_2004 的安装 (1)用虚拟光驱软件打开Protel_DXP_2004.iso 文件 (2)运行setup\Setup.exe 文件,安装Protel DXP 2004 (3) 运行破解程序后,点击“导入模版”,先导入一个ini文件模版(如果要生成单机版的License选择Unified Nexar-Protel License.ini;要生成网络版的License选择Unified Nexar-Protel Network License.ini),然后修改里面的参数:TransactorName=Your Name(将“Your Name”替换为你想要注册的用户名);SerialNumber=0000000(如果你只有一台计算机,那么这个可以不用修改,如果有两台以上的计算机且连成局域网,那么请保证每个License文件中的SerialNumber=为不同的值。修改完成后点击“生成协议文件”,任意输入一个文件名(文件后缀为.alf)保存,程序会在相应目录中生成1个License文件。点击“替换密钥”,选取DXP.exe(在DXP 2004安装目录里,默认路径为C:\Program Files\Altium2004\),程序会自动替换文件中的公开密钥。将前面生成的License文件拷贝至DXP 2004安装目录里(默认路径为C:\Program Files\Altium2004\)授权完成。 (4)打开Protel 在左上角DXP 菜单下的Preference 菜单项里,选中Use localize resources 后关闭Protel_DXP_2004,重新打开软件变为简体中文版本。 2、Protel_DXP_2004 的卸载 卸载Protel_DXP_2004 的具体步骤如下: (1)在Windows 的“开始”菜单中选择“设置/控制面板”,然后在控制面板中选择“添加/删除程序”选项,将弹出对话框。从中选择DXP 2004 应用软件。 (2)单击删除”按钮,将弹出对话框,询问用户是否真的要删除程序。 (3)单击“是”按钮,开始卸载。在卸载过程中,若想终止卸载,可单击“取消”按钮。

EDA实验指导书(vhdl)

实验一 半加器和全加器的设计 一、 实验目的 1、掌握图形的设计方式; 2、掌握自建元件及调用自建元件的方法; 3、熟练掌握MAXPLUS II 的使用。 二、实验内容 1、熟练软件基本操作,完成半加器和全加器的设计; 2、正确设置仿真激励信号,全面检测设计逻辑; 3、综合下载,进行硬件电路测试。 三、实验原理 1、半加器的设计 半加器只考虑了两个加数本身,没有考虑由低位来的进位。 半加器逻辑表达式:B A B A B A S ⊕=+=;AB C = 2.全加器的设计 全加器除考虑两个加数外,还考虑了低位的进位。

0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 全加器逻辑表达式: 1-⊕⊕=i i i i C B A S ;AB C B A C i i i i +⊕=-1)( 3、利用半加器元件完成全加器的设计 (1)图形方式 其中HADDER 为半加器元件。 四、实验步骤 1、完成图形半加器设计。 2、完成VHDL 半加器设计与仿真(记录仿真波形)。 3、完成VHDL 全加器设计与仿真(记录仿真波形)。 4、利用半加器元件进行图形的全加器设计。 五、思考题: 1、怎样自建元件?自建元件的调用要注意什么?

实验二二位加法计数器的设计 一、实验目的 1、掌握二位加法计数器的原理; 2、掌握二位加法计数器的VHDL描述。 3、深入理解VHDL中元件例化的意义。 二、实验内容 1、完成带进位功能二位加法计数器的VHDL设计; 2、正确设置仿真激励信号,全面检测设计逻辑; 3、综合下载,进行硬件电路测试。 三、实验原理 1、二位加法计数器中使用了矢量类型的数据,用来表示计数的数值。 2、元件的例化就是元件的调用,是层次化设计的基础。 具体设计程序由学生自己完成。 四、实验步骤 1、了解二位加法计数器的工作原理。 2、用VHDL文本方式设计二位加法计数器。 3、进行二位加法计数器的设计仿真(记录仿真波形)。 4、进行二位加法计数器的设计下载与测试。 五、思考题 1、怎样设计“减法”计数器? 2、进位信号的设置应注意什么?

EDA实验指导书2015分析

实验一 半加器的设计 一、 实验目的 1、掌握简单组合电路的设计; 2、掌握CASE 语句的应用方法; 3、掌握真值表到VHDL 的综合; 4、熟练掌握MAXPLUS II 的使用。 二、实验内容 1、熟练软件基本操作,完成半加器的设计; 2、正确设置仿真激励信号,全面检测设计逻辑; 三、实验原理 1、半加器的设计 半加器只考虑了两个加数本身,没有考虑由低位来的进位。 半加器逻辑表达式:B A B A B A S ⊕=+=;AB C = 2、利用CASE 语句进行半加器的设计 3、将生成的半加器生成元件 四、实验步骤 1、完成半加器设计。 2、完成VHDL 半加器设计与仿真(记录仿真波形)。 3、生成半加器元件。 五、思考题: 1、怎样自建元件?自建元件的调用要注意什么?

实验二 全加器的设计 二、 实验目的 1、掌握图形的设计方式; 2、掌握自建元件及调用自建元件的方法; 3、熟练掌握MAXPLUS II 的使用。 二、实验内容 1、熟练软件基本操作,完成全加器的设计; 2、正确设置仿真激励信号,全面检测设计逻辑; 三、实验原理 1、全加器的设计 全加器除考虑两个加数外,还考虑了低位的进位。 全加器逻辑表达式: 1-⊕⊕=i i i i C B A S ;AB C B A C i i i i +⊕=-1)( 2、利用半加器元件完成全加器的设计 图形方式(其中HADDER 为半加器元件)

四、实验步骤 1、完成图形全加器设计。 2、完成VHDL全加器设计与仿真(记录仿真波形)。 3、利用半加器元件进行图形的全加器设计。 五、思考题: 1、怎样自建元件?自建元件的调用要注意什么?

EDA实验指导书新新印刷

EDA实验指导书新 新印刷

淮阴工学院 EDA技术实验指导书 编者: 叶小婷 电子与电气工程学院 6月7日

目录 实验一基于QUARTUSII 图形输入电路的设计 (1) 实验二基于VHDL 格雷码编码器的设计 (16) 实验三含异步清零和同步使能的加法计数器 (18) 实验四八位七段数码管动态显示电路的设计 (20) 实验五数控分频器的设计 (22) 实验六图形和VHDL 混合输入的电路设计 (23) 实验七四位并行乘法器的设计 (26) 实验八基本触发器的设计 (28) 实验九四位全加器设计 (30) 实验十矩阵键盘显示电路的设计 (32) 实验十一用VHDL 设计七人表决器 (35) 实验十二用VHDL 设计四人抢答器 (37) 实验九熟悉PROTEL99环境 (39) 实验十原理图设计 (42) 实验十一元件制作与网络表操作 (44) 实验十二印刷电路板设计 (47) 附录一实验箱常见管脚分配表 (49) 附录二参考程序 (51)

实验一基于QUARTUSII 图形输入电路的设计 一、实验目的 1.经过一个简单的3—8译码器的设计, 掌握组合逻辑电路的设计方法。 2.初步了解QUARTUSII 原理图输入设计的全过程。 3.掌握组合逻辑电路的静态测试方法。 二、实验设备 1.PC机一台; 2.Altera Blaster下载器一根; 3.THGSC-3型实验箱一台。 三、实验原理 3-8译码器三输入, 八输出。当输入信号按二进制方式的表示值为N时, 输出端标号为N 的输出端输出高电平表示有信号产生, 而其它则为低电平表示无信号产生。因为三个输入端能产生的组合状态有八种, 因此输出端在每种组合中仅有一位为高电平的情况下, 能表示所有的输入组合。 译码器不需要像编码器那样用一个输出端指示输出是否有效。但能够在输入中加入一个输出使能端, 用来指示是否将当前的输入进行有效的译码, 当使能端指示输入信号无效或不用对当前信号进行译码时, 输出端全为高电平, 表示无任何信号。本例设计中没有考虑使能输入端, 自己设计时能够考虑加入使能输入端时, 程序如何设计。

相关主题
文本预览
相关文档 最新文档