当前位置:文档之家› 数字逻辑电路

数字逻辑电路

数字逻辑电路
数字逻辑电路

学习中心/函授站_

姓 名 学 号

西安电子科技大学网络与继续教育学院

2016学年上学期

《数字逻辑电路》期末考试试题

(综合大作业)

考试说明:

1、大作业于2016年4月

15日下发,

2016年

5月7日交回; 2、考试必须独立完成,如发现抄袭、雷同均按零分计; 3、答案须手写完成,要求字迹工整、卷面干净。

一、单项选择题(每小题2分,共30分) 1、下列数中最大的数是( )。

A .(1.1)8

B .(1.1)16

C .(1.1)10

D .(1.1)2 2、八进制数(76)8所对应的8421BCD 码是( )。 A .(10010010)8421BCD B .(01110110)8421BCD C .(01100010)

8421BCD D .(10000100)8421BCD 3、某逻辑电路输入A 、B 和输出F 的波形如图1.1所示,由此判断该门电路完成的逻辑功能是( )。

A

B F

图1.1

A .与非

B .异或

C .同或

D .或非 4、图1.2所示电路的输出函数F 的表达式为( )。

A .C

B B A F += B .

C B B A F += C .C B B A F +=

D .C B B A F += 5、某函数BD C A B A F ++?=反函数为( )。

A .)()(D

B

C A B A F +++= B .)()(

D B C A B A F +++= C .)()(D B C A B A F ++++= D .D B C A B A F +++=)( 6、AC C A B A F ++=的最简表达式为( )。 A .B A B .C A C .A B + D .1 7、函数C A B A F +=的最小项标准式为( )。 A .∑=)7,6,2,0()(ABC F B .∑=)5,4,3,1()(ABC F C .∑=

)5,3,2,0()(ABC F D .∑=)7,5,3,1()(ABC F

8、n 变量的逻辑相邻项有( )。

A .2n 个

B .2n 个

C .n 2个

D .n 个

9、逻辑函数D C B A D B C A F ?++?+=的最简与或式是( )。

A .BC D A +

B .D

C B A

D B C A ?++?+ C .C B D A ?+ D .D B C B + 10、∑=

)11,9,4,3,1,0()(ABCD F ,

约束条件为0=+BD AB ,其最简与或非式为( )。 A .D B C A F +?= B .F A C D =?+ C .D C D A F += D .D C D A F += 11、函数))((C B A C A F +++=的最简与非式是( )。 A .C B A C A F ?= B .C A B A AC F ????= C .AC AB C A F ???= D .BC A C A F ?=

12、将一路信号送至多个输出端,应选用( )。

A .译码器

B .数据选择器

C .编码器

D .数据分配器 13、为了使D 触发器实现T 触发器功能,则激励端D 应接至( )。

A .0=D

B .n

Q D = C .n

Q D = D .1=D

14、用555定时器构成的典型施密特电路,其外接电源V U DD 18=则其回差电压为( )。

A .V U T 6=?

B .V U T 12=?

C .V U T 16=?

D .V U T 18

=? 15、为了将模拟信号转换为数字信号,应选用( )。

A .数字/模拟转换电路

B .模拟/数字转换电路

C .译码器

D .移位寄存器 二、填空题(每小题2分,共10分)

16、十进制数19的余3代码是 。 17、=⊕⊕=01A F 。

18、移位寄存器中的数为0010经右移进一个1,再左移进一个1后寄存器的数为 。 19、74LS194当,1;001===r C S S 其功能是 。 20、为了将输入的正弦波转换为同频率的矩形波应选用___ __电路。 三、分析题(每小题8分,共40分)

说明:中规模器件74LS90、74LS161和74LS194的功能表在最后一页上。 21、数据选择器电路如图3.1所示。 (1)写出函数F 的表达式; (2)求出其最简与或式。

22、触发器及相关波形如图3.2所示。

(1)写出该触发器的特征方程; (2)对应相关波形画出Q 端波形。(设起始态Q=0)

23、电路如图3.3(a),(b)所示。

1)分别列出(a),(b)图所示电路的状态迁移关系; (2)分别指出电路(a),(b)的功能。

A C

CP

图3.2

24、已知数据选择器和集成移位寄存器74LS194组成的电路如图3.4所示。 (1)列出74LS194的状态迁移关系; (2)指出输出F 的序列。

四、设计题(每小题10分,共20分)

25、用译码器74LS138实现函数C B C B ABC F +++=)((可加少量的门电路)。 (1)写出最小项标准式; (2)画出逻辑图。

26、用集成计数器74LS161组成起始态(DCBA)为0010的十进制计数器。 (1)列出状态迁移关系; (2)画出逻辑图。

(b)

(a)

图2.3

图3.3

74LS194功能表

74LS161功能表74LS90功能表输入

输出R 01R 02S 91S 92CP 1CP 2

11111111

00

R 01R 02=0S 91S 92=0

00Q D Q A

二进制计数五进制计数

8421码十进制计数5421码十进制计数

Q A Q B Q C Q D 00000000100110

01

CP

CP CP

CP

数字逻辑电路第1-6章作业汇总

第一章 单选题 1(10 分)、 8421BCD 码 1001 对应的余 3 码为 ?A、 0011 ?B、 1100 ?C、 1000 ?D、 0001 参考答案: B 2(10 分)、 -3 的四位补码(含符号位)为: ?A、 1011 ?B、 1101 ?C、 1110 ?D、 1100 参考答案: B 3(10 分)、 若 1100 是 2421BCD 码的一组代码,则它对应的十进制数是?A、 5 ?B、 6 ?C、 7 ?D、 8 参考答案: B 4(10 分)、 十六进制数 FF 对应的十进制数是 ?A、 253

?B、 254 ?C、 255 ?D、 256 参考答案: C 5(10 分)、 二进制数 111011.101 转换为十进制数为: ?A、 58.625 ?B、 57.625 ?C、 59.625 ?D、 60.125 参考答案: C 6(10 分)、 设二进制变量 A=0F0H,B=10101111B,则 A 和 B 与运算的结果是?A、 10100000 ?B、 11111111 ?C、 10101111 ?D、 11110000 参考答案: A 7(10 分)、 -3 的四位原码为: ?A、 1111 ?B、 1010 ?C、 1011 ?D、 1101 参考答案: C

8(10 分)、 格雷码的特点是相邻两个码组之间有位码元不同。 ?A、 4 ?B、 3 ?C、 2 ?D、 1 参考答案: D 9(10 分)、 字符‘A’的 ASCII 码为 ?A、 40H ?B、 41H ?C、 42H ?D、 44H 参考答案: B 10(10 分)、 与十进制数 12.5 等值的二进制数为: ?A、 1100.10 ?B、 1011.11 ?C、 1100.11 ?D、 1100.01 参考答案: A 第二章 单选题 1(6 分)、 逻辑函数 L=AB+AC 的真值表中,使得 L=1 的输入变量组合有多少种?

数字逻辑电路分析题(重点应该是最后四个图)

逻辑电路分析 1、写方程 时钟方程: CP0= CP1 =CP2=CP 输出方程: Y=n n n Q Q Q Y 012= 驱动方程: J 0 = n Q 2 ;K 0=n Q 2 J 1 =n Q 0 ;K 1=n Q 0 J 2 =n Q 1 ;K 2= n Q 1 2、求状态方程 n n n n n n Q Q Q Q Q Q 2020210=+=+ n n n n n n Q Q Q Q Q Q 0101011`=+=+ n n n n n n Q Q Q Q Q Q 1212112=+=+ 1 +n Q =n Q 2 11+n Q =n Q 0 12 +n Q =n Q 1

(3)状态表 状态图 有效循环 无效循环 现态 次态 输出 Q n 2 Q n 1 Q n 0 Q 2n +1 Q 1n +1 Q 0n +1 Y 0 0 0 0 0 1 1 0 0 1 0 1 1 1 0 1 0 1 0 1 1 0 1 1 1 1 1 1 1 0 0 0 0 0 0 1 0 1 0 1 0 1 1 1 0 1 0 0 1 1 1 1 1 1 0 1 状态表

同步五进制计数器,有自启动能力 n n n n n n n n Q Q D Q Q Q D Q D Q Q D 0 12120120 11101;;?==?====++

状态方程 状态表 状态图 电路特点: 异步五进制加法计数器,有自启动能力 2 ↑ ?=+CP Q Q Q n n n )(1n Q C 2 =↑ ?=?=+CP Q Q Q Q Q D n n n n n )(;011201201111

数字逻辑电路小论文

数字逻辑论文 摘要:随着数字逻辑技术的发展,数字逻辑电路也逐步应用于我们生活的方方面面。在数字机顶盒,数字电冰箱,数字洗衣机等领域均有所体现。本文将大体介绍数字逻辑电路的发展历程、分类方法、数值、用途与特点,最后详细介绍数字逻辑电路的实际应用。 一.数字电路的发展历程与分类方法 数字电路的发展:数字电路的发展与模拟电路一样经历了由电子管、半导体分立器件到集成电路等几个时代。但其发展比模拟电路发展的更快。从60年代开始,数字集成器件以双极型工艺制成了小规模逻辑器件。随后发展到中规模逻辑器件;70年代末,微处理器的出现,使数字集成电路的性能产生质的飞跃。逻辑门是数字电路中一种重要的逻辑单元电路。TTL逻辑门电路问世较早,其工艺经过不断改进,至今仍为主要的基本逻辑器件之一。随着CMOS工艺的发展,TTL的主导地位受到了动摇,有被CMOS器件所取代的趋势。近年来,可编程逻辑器件PLD特别是现场可编程门阵列FPGA的飞速进步,使数字电子技术开创了新局面,不仅规模大,而且将硬件与软件相结合,使器件的功能更加完善,使用更灵活。 数字逻辑电路分类: 1、按功能来分: (1)组合逻辑电路:简称组合电路,它由最基本的的逻辑门电路组合而成。特点是:输出值只与当时的输入值有关,即输出惟一地由当时的输入值决定。电路没有记忆功能,输出状态随着输入状态的变化而变化,类似于电阻性电路,如加法器、译码器、编码器、数据选择器等都属于此类。 (2)时序逻辑电路:简称时序电路,它是由最基本的逻辑门电路加上反馈逻辑回路或器件组合而成的电路,与组合电路最本质的区别在于时序电路具有记忆功能。时序电路的特点是:输出不仅取决于当时的输入值,而且还与电路过去的状态有关。它类似于含储能元件的电感或电容的电路,如触发器、锁存器、计数器、移位寄存器、储存器等电路都是时序电路的典型器件。 2、按电路有无集成元器件来 可分为分立元件数字电路和集成数字电路。 3、按集成电路的集成度进行分类

数字逻辑电路的用途和特点

数字逻辑电路的用途和特点 数字电子电路中的后起之秀是数字逻辑电路。把它叫做数字电路是因为电路中传递的虽然也是脉冲,但这些脉冲是用来表示二进制数码的,例如用高电平表示“ 1 ”,低电平表示“ 0 ”。声音图像文字等信息经过数字化处理后变成了一串串电脉冲,它们被称为数字信号。能处理数字信号的电路就称为数字电路。 这种电路同时又被叫做逻辑电路,那是因为电路中的“ 1 ”和“ 0 ”还具有逻辑意义,例如逻辑“ 1 ”和逻辑“ 0 ”可以分别表示电路的接通和断开、事件的是和否、逻辑推理的真和假等等。电路的输出和输入之间是一种逻辑关系。这种电路除了能进行二进制算术运算外还能完成逻辑运算和具有逻辑推理能力,所以才把它叫做逻辑电路。 由于数字逻辑电路有易于集成、传输质量高、有运算和逻辑推理能力等优点,因此被广泛用于计算机、自动控制、通信、测量等领域。一般家电产品中,如定时器、告警器、控制器、电子钟表、电子玩具等都要用数字逻辑电路。 数字逻辑电路的第一个特点是为了突出“逻辑”两个字,使用的是独特的图形符号。数字逻辑电路中有门电路和触发器两种基本单元电路,它们都是以晶体管和电阻等元件组成的,但在逻辑电路中我们只用几个简化了的图形符号去表示它们,而不画出它们的具体电路,也不管它们使用多高电压,是TTL 电路还是CMOS 电路等等。按逻辑功能要求把这些图形符号组合起来画成的图就是逻辑电路图,它完全不同于一般的放大振荡或脉冲电路图。 数字电路中有关信息是包含在0 和1 的数字组合内的,所以只要电路能明显地区分开0 和 1 ,0 和 1 的组合关系没有破坏就行,脉冲波形的好坏我们是不大理会的。所以数字逻辑电路的第二个特点是我们主要关心它能完成什么样的逻辑功能,较少考虑它的电气参数性能等问题。也因为这个原因,数字逻辑电路中使用了一些特殊的表达方法如真值表、特征方程等,还使用一些特殊的分析工具如逻辑代数、卡诺图等等,这些也都与放大振荡电路不同。 门电路和触发器 (1 )门电路 门电路可以看成是数字逻辑电路中最简单的元件。目前有大量集成化产品可供选用。

数字逻辑电路习题集教学教材

数字逻辑电路习题集

第一章 数字逻辑电路基础 一、填空题 1、模拟信号的特点是在 和 上都是 变化的。(幅度、时间、连续) 2、数字信号的特点是在 和 上都是 变化的。(幅度、时间、不连续) 3、数字电路主要研究 与 信号之间的对应 关系。(输出、输入、逻辑) 4、用二进制数表示文字、符号等信息的过程称为。(编码) 5、()11011(2= 10),()1110110(2= 8),()21(10= 2)。(27、 166、10101) 6、()101010(2= 10),()74(8= 2),()7(16=D 2)。(42、 111100、11010111) 7、最基本的三种逻辑运算是 、 、 。(与、或、非) 8、逻辑等式三个规则分别是 、 、 。(代入、对偶、反演) 9、逻辑函数化简的方法主要有 化简法和 化简法。(公式、卡诺图) 10、逻辑函数常用的表示方法有 、 和 。(真值表、表达式、卡诺图、逻辑图、波形图五种方法任选三种即可)

11、任何一个逻辑函数的 是唯一的,但是它的 可有不同的形式,逻辑函数的各种表示方法在本质上是 的,可以互换。(真值表、表达式、一致或相同) 12、写出下面逻辑图所表示的逻辑函数 。(C B A Y )(+=) 13、写出下面逻辑图所表示的逻辑函数 。())((C A B A Y ++=) 14、半导体二极管具有 性,可作为开关元件。(单向导电) 15、半导体二极管 时,相当于短路; 时,相当于开路。(导通、截止) 16、半导体三极管作为开关元件时工作在 状态和 状态。(饱和、截止) 二、判断题 1、十进制数74转换为8421码应当是BCD 8421)01110100(。(√) 2、二进制只可以用来表示数字,不可以用来表示文字和符号等。(╳) 3、十进制转换为二进制的时候,整数部分和小数部分都要采用除2取余法。(╳) 4、若两个函数相等,则它们的真值表一定相同;反之,若两个函数的真值表完全相同,则这两个函数未必相等。(╳)

数字逻辑电路习题集1

第一章 数字逻辑电路基础 一、填空题 1、模拟信号的特点是在 和 上都是 变化的。(幅度、时间、连续) 2、数字信号的特点是在 和 上都是 变化的。(幅度、时间、不连续) 3、数字电路主要研究 与 信号之间的对应 关系。(输出、输入、逻辑) 4、用二进制数表示文字、符号等信息的过程称为_____________。(编码) 5、()11011(2= 10),()1110110(2= 8),()21(10= 2)。(27、16 6、10101) 6、()101010(2= 10),()74(8= 2),()7(16=D 2)。(42、111100、11010111) 7、最基本的三种逻辑运算是 、 、 。(与、或、非) 8、逻辑等式三个规则分别是 、 、 。(代入、对偶、反演) 9、逻辑函数化简的方法主要有 化简法和 化简法。(公式、卡诺图) 10、逻辑函数常用的表示方法有 、 和 。(真值表、表达式、卡诺图、逻辑图、波形图五种方法任选三种即可) 11、任何一个逻辑函数的 是唯一的,但是它的 可有不同的形式,逻辑函数的各种表示方法在本质上是 的,可以互换。(真值表、表达式、一致或相同) 12、写出下面逻辑图所表示的逻辑函数Y= 。(C B A Y )(+=) 13、写出下面逻辑图所表示的逻辑函数Y= 。())((C A B A Y ++=) 14、半导体二极管具有 性,可作为开关元件。(单向导电) 15、半导体二极管 时,相当于短路; 时,相当于开路。(导通、截止) 16、半导体三极管作为开关元件时工作在 状态和 状态。(饱和、截止) 二、判断题

1、十进制数74转换为8421BCD 码应当是BCD 8421)01110100(。(√) 2、二进制只可以用来表示数字,不可以用来表示文字和符号等。(╳) 3、十进制转换为二进制的时候,整数部分和小数部分都要采用除2取余法。(╳) 4、若两个函数相等,则它们的真值表一定相同;反之,若两个函数的真值表完全相同,则这两个函数未必相等。(╳) 5、证明两个函数是否相等,只要比较它们的真值表是否相同即可。(√) 6、在逻辑函数表达式中,如果一个乘积项包含的输入变量最少,那么该乘积项叫做最小项。(╳) 7、当决定一件事情的所有条件全部具备时,这件事情才发生,这样的逻辑关系称为非。(╳) 8、在全部输入是“0”的情况下,函数B A Y +=运算的结果是逻辑“0”。( ╳) 9、逻辑变量取值的0和1表示事物相互独立而又联系的两个方面。(√) 10、在变量A 、B 取值相异时,其逻辑函数值为1,相同时为0,称为异或运算。(√) 11、逻辑函数的卡诺图中,相邻最小项可以合并。(√) 12、对任意一个最小项,只有一组变量取值使得它的值为1.(√) 13、任意的两个最小项之积恒为0。(√) 14、半导体二极管因为其有导通、截止两种工作状态,所以可以作为开关元件使用;半导体三极管因为其有饱和、截止、放大三种工作状态,所以其不可以作为开关元件使用。(╳) 15、半导体二极管、三极管、MOS 管在数字电路中均可以作为开关元件来使用。(√) 三、选择题 1、下列哪些信号属于数字信号(B )。 A 、正弦波信号 B 、时钟脉冲信号 C 、音频信号 D 、视频图像信号 2、数字电路中的三极管工作在(C )。 A 、饱和区 B 、截止区 C 、饱和区或截止区 D 、放大区 3、十进制整数转换为二进制数一般采用(A ) A 、除2取余法 B 、除2取整法 C 、除10取余法 D 、除10取整法 4、将十进制小数转换为二进制数一般采用(B ) A 、乘2取余法 B 、乘2取整法 C 、乘10取余法 D 、乘10取整法 5、在(A )的情况下,函数B A Y +=运算的结果是逻辑“0” A 、全部输入是“0” B 、任一输入是“0” C 、任一输入是“1” D 、全部输入是“1” 6、在(B )的情况下,函数AB Y =运算的结果是逻辑“1” A 、全部输入是“0” B 、任一输入是“0” C 、任一输入是“1” D 、全部输入是“1”

(整理)《数字逻辑电路》试题2.

一、选择题(每小题1.5分) 第一章: 1. 带符号位二进制数10011010的反码是( )。 A. 11100101 B. 10011010 C. 10011011 D. 11100110 2. 十进制数5对应的余3码是( )。 A. 0101 B. 1000 C. 1010 D. 1100 3. 二进制代码1011对应的格雷码是( )。 A. 1011 B. 1010 C. 1110 D. 0001 第二章: 1. 下列公式中哪一个是错误的? ( ) A. A A 0=+ B. A A A =+ C. B A )B A ('+'='+ D. )C A )(B A (BC A ++=+ 2. 下列各式中哪个是三变量A 、B 、C 的最小项? ( ) A. B A '' B. C B A +'+' C.ABC D. C B '+' 3. 下列函数中不等于A 的是( )。 A. A +1 B. A +A C. A +AB D. A (A +B ) 4. 在逻辑代数的加法运算中,1+1=( )。 A. 2 B. 1 C. 10 D. 0 5. A ⊕1=( )。 A. A B. 1 C. A ' D. 0 6. 含有A 、B 、C 、D 四个逻辑变量的函数Y=A+B+D 中所含最小项的个数是( )。 A. 3 B. 8 C. 14 D. 16 7. 下列函数中等于AB 的是( )。 A. (A +1)B B. (A +B )B C. A +AB D. A (AB ) 8. 为了将600份文件顺序编码,如果采用二进制代码,最少需要用( )位。 A. 3 B. 10 C. 1024 D. 600 9. 为了将600个运动员顺序编码,如果采用八进制代码,最少需要用( )位。 A. 3 B. 4 C. 10 D. 75 第三章:

《数字逻辑电路》期末大作业实验报告

大连外国语大学软件学院 1数字逻辑电路概述 数字逻辑是数字电路逻辑设计的简称,其内容是应用数字电路进行数字系统逻辑设计。电子数字计算机是由具有各种逻辑功能的逻辑部件组成的,这些逻辑部件按其结构可分为组合逻辑电路和时序逻辑电路。组合逻辑电路是由与门、或门和非门等门电路组合形成的逻辑电路;时序逻辑电路是由触发器和门电路组成的具有记忆能力的逻辑电路。有了组合逻辑电路和时序逻辑电路,再进行合理的设计和安排,就可以表示和实现布尔代数的基本运算。 数字逻辑电路有易于集成、传输质量高、有运算和逻辑推理能力等优点,因此被广泛用于计算机、自动控制、通信、测量等领域。一般家电产品中,如定时器、告警器、控制器、电子钟表、电子玩具等都要用数字逻辑电路。 (阐述数字逻辑的现状、目的、意义、功能、方法及作用)2第一种数字逻辑电路 方法原理及功能 数据选择器又称为多路开关,是一种重要的组合逻辑器件,它可以实现从多路数据中选择任何一路数据输出,选择的控制由专门的端口编码决定,称为地址码,数据选择器可以完成很多的逻辑功能,例如函数发生器、桶形移位器、并串转换器、波形产生器等。 1、与非门实现二选一数据选择器: 用一种74SL153及门电路设计实现一位全加器,输入用三个单刀双掷开关分别代表A、B、C,输出用两个指示灯分别代表L1、L1。 设计过程与结果(描述方法的操作过程和结果,配截图详细介绍) 在元件库中单击TTL,再单击74LS系列,选中74LS153D。

仿真结果实际结果 L 1 亮单独打开开关A,B,C时; L1灯泡亮 L 2 亮任意打开两个开关; 灯泡L2亮

L 1 和 L 2 都 亮 同时打开开关A,B,C时; 灯泡L1,L2同时亮。 心得体会 经过许多次的失败,在不断尝试中选择一个适合的方式去解决问题,加强对电路的 理解。通过该实验可以培养我们的动手能力和对数字电路的理解。经检验,符合真值表, 达到数据选择的作用。74ls153为双四选一数据选择器,几多一个非门和或门可以组成 数据比较器。能更好的掌握相关芯片的知识,了解其用途。 失败电路一: 失败电路二:

《数字逻辑电路》复习资料

成考复习资料 《数字逻辑电路》 复习资料1 一、逻辑函数化简 1.用代数法化简, C B A ABCDE ABC Y ++++= 2.用卡诺图法化简, ),,Σd(1,5)4,,Σm(0,Y(A,B,C,D)11,10,9321113+=, ∑d 为无关项 二、分析题 1、分析下图所示逻辑电路,列出真值表,说明其逻辑关系。 2、分析下图所示同步时序逻辑电路,作出状态转移表和状态图,说明这个电路能对何种序列进行检测? 三、设计题 1.设计一个三输入的组合逻辑电路,当输入的二进制码小于5时,输出为0,大于等于5时,输出为1。要求: (1)列出真值表; (2)写出逻辑函数的最简与或式; (3)用非门和与非门实现该电路;

(4)用74LS138实现该电路。 74LS138功能表 2、设计一个按自然顺序变化的7进制加法计数器,计数规则为逢7进位,产生一个进位输出。要求:选用边沿JK触发器设计实现该功能的同步时序逻辑电路,并画出逻辑电路图。

成考复习资料 答案 一、逻辑函数化简 1. ABC+(ABC)+(ABCDE)=1'' 2. AC AD B A Y ++= 二、分析题 1. 逻辑表达式:1Y A B CI =⊕⊕ 2((()))()Y A B CI AB A B CI AB ''=⊕+=⊕+ 由逻辑表达式计算出真值表: A B C Y Y 0 0 0 0 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 这是一个全加器电路。 2. 答:电路的状态方程和输出方程为: 状态表和状态转移图如下:

数字逻辑电路试卷(附答案)

1.逻辑函数的两种标准形式分别为。 2.将2004个“1”异或起来得到的结果是(0)。 3.半导体存储器的结构主要包含三个部分,分别是(译码器)、(存储阵列)、(控制逻辑)。 4.A/D转换的四个过程是采样、保持、量化和(编码),其中采样脉冲的频率要求至少是模拟信号最高频率的(2)倍。 5.8位D/A转换器当输入数字量10000000为5v。若只有最低位为高电平,则输出电压为(5/128)v;当输入为10001000,则输出电压为(5*136/128)v。 6.就逐次逼近型和双积分型两种A/D转换器而言,(双积分型)的抗干扰能力强,(逐次逼近型)的转换精度高。 7.(61. 5)10 == (3D.8)16 = (10010001.1000)5421BCD; 8.已知某74ls00为2输入4与非门,I OL=22mA,I OH=2mA,I IL=2mA,I IH=40μA,则其低电平输出的扇出系数N OL=(11),其高电平输出的扇出系数N OH=(50); 9.函数的最小项表达式为F=(4.5.7),最大项表达式为(0.1.2.3.6) 10. 根据对偶规则和反演规则,直接写出的对偶式和反函数, Fd =(),=(); 11. 12.已知X=(-17),则X的8位二进制原码为(10001001),其8位二进制补码为(11110111); 13.T' 触发器的次态方程是(Qn+1 = ~Qn); 14.D触发器的次态方程是(); 15.根据毛刺的不同极性,可以将逻辑险象分为0型险象和1型险象,对于一个逻辑表达式,若在给定其它变量适当的逻辑值后,出现F= ()的情形,则存在1型险象;

如何看懂数字逻辑电路

如何看懂数字逻辑电路 排行榜收藏发给朋友举报发布者:Saiu 热度0票浏览2次【共0条评论】【我要评论】时间:2010年10月28日22:36 数字电子电路中的后起之秀是数字逻辑电路。把它叫做数字电路是因为电路中传递的虽然也是脉冲,但这些脉冲是用来表示二进制数码的,例如用高电平表示“ 1 ”,低电平表示“ 0 ”。声音图像文字等信息经过数字化处理后变成了一串串电脉冲,它们被称为数字信号。能处理数字信号的电路就称为数字电路。 这种电路同时又被叫做逻辑电路,那是因为电路中的“ 1 ”和“ 0 ”还具有逻辑意义,例如逻辑“ 1 ”和逻辑“ 0 ”可以分别表示电路的接通和断开、事件的是和否、逻辑推理的真和假等等。电路的输出和输入之间是一种逻辑关系。这种电路除了能进行二进制算术运算外还能完成逻辑运算和具有逻辑推理能力,所以才把它叫做逻辑电路。 由于数字逻辑电路有易于集成、传输质量高、有运算和逻辑推理能力等优点,因此被广泛用于计算机、自动控制、通信、测量等领域。一般家电产品中,如定时器、告警器、控制器、电子钟表、电子玩具等都要用数字逻辑电路。 数字逻辑电路的第一个特点是为了突出“逻辑”两个字,使用的是独特的图形符号。数字逻辑电路中有门电路还是 CMOS 电路等等。按逻辑功能要求把这些图形符号组合起来画成的图就是逻辑电路图,它完全不同于一般的放大振荡或脉冲电路图。 数字电路中有关信息是包含在 0 和 1 的数字组合内的,所以只要电路能明显地区分开 0 和 1 , 0 和 1 的组合关系没有破坏就行,脉冲波形的好坏我们是不大理会的。所以数字逻辑电路的第二个特点是我们主要关心它能完成什么样的逻辑功能,较少考虑它的电气参数性能等问题。也因为这个原因,数字逻辑电路中使用了一些特殊的表达方法如真值表、特征方程等,还使用一些特殊的分析工具如逻辑代数、卡诺图等等,这些也都与放大振荡电路不同。 门电路和触发器 ( 1 )门电路

数字逻辑实验-触发器及其运用和组合逻辑电路的分析教材

武汉大学计算机学院教学实验报告课程名称数字逻辑成绩教师签名 实验名称触发器及其运用和组合逻辑电 路的分析实验序号02 实验日期2012-05 -09 姓名徐佩学号2012301 500163 专业计算机 科学与 技术 年级-班2012级 计科5 班 一、实验目的及实验内容 (本次实验所涉及并要求掌握的知识;实验内容;必要的原理分析) 小题分:一、实验目的 1)1.熟悉并掌握R-S、D、J-K触发器的构成、工作原理和功能测试方法。 2.学会正确使用触发器集成芯片。 3.了解触发器的简单应用。 2)1. 掌握组合逻辑电路的分析方法。 2. 掌握组合逻辑电路的设计方法,逻辑函数简化技术及芯片的使用方法。 二、实验内容 1)1. 基本R-S触发器的功能测试 2. 集成D触发器的功能测试及应用 2)1. 组合逻辑电路的分析 2. 组合逻辑电路的设计 三、实验原理 1)1用“与非门”构成的基本R-S触发器是无时钟控制低电平直接触发的触发器, 它具有置“0”、置“1”和“保持”三种功能 2在输入信号为单端的情况下,D触发器用起来最为方便,其状态方程为Q n+1=D n ,其输出状态的更新发生在CP脉冲的上升沿,故又称为上升沿触发的边沿触发器 2)1、逻辑电路分析,是指对一个给定的逻辑电路找出其输出与输入之间的逻辑关系。 2、逻辑电路设计的首要任务是将设计问题转化为逻辑问题,即将文字描述的设计要求抽象为一种逻辑关系。就组合逻辑电路而言,就是抽象出描述问题的逻辑表达式。

二、实验环境及实验步骤 小题分:(本次实验所使用的器件、仪器设备等的情况;具体的实验步骤) 一、实验环境 1)1. 双踪示波器 2. 74LS00 二输入四与非门 3. 74LS112 双J-K触发器 4. 74LS74 双D触发器 2)1. TD-DS实验箱 2. 示波器 3. 74LS00 二输入四与非门 4. 74LS04 六反相器 5. 74LS20 四输入二与非门 6. 74LS86 二输入四异或门 二、实验步骤 1)用相对应的芯片做实验,连接电源,测试效果;验证电路的逻辑功能。 2)结合芯片,连接实验所给的逻辑电路,测试其功能。 三、实验过程分析 小题分:(详细记录实验过程中发生的故障和问题,进行故障分析,说明故障排除的过 程及方法。根据具体实验,记录、整理相应的数据表格、绘制曲线、波形等)

数字逻辑电路汇总

(2分)正逻辑是指 C. 高电平用“1”表示,低电平用“0”表示 (2分)五个D触发器构成环形计数器,其计数长度为 B. 10 (2分)一个T触发器,在T=1时,来一个时钟脉冲后,则触发器() D. 翻转 (2分)数字电路中的三极管工作在 C. 饱和区或截止区 (2分)当用异步I/O输出结构的PAL A. 组合逻辑电路 (2分)用输出低点平有效的3/8译码器和逻辑门实现某一逻辑函数 A. 一定用与门 (2分)按计数过程中数字增减趋势,计数器可分为加法计数器,可逆计数器和 A. 减法计数器 (2分)五个D A. 5 (2分)四位比较器(74LS85)的三个输出信号A〉B,A=B,A<B中,只有一个是有效信号时,它呈现 B. 低电平 (2分)测试放大电路输出电压幅值与相位的变化,可以得到它的频率响应,条件是 A. 输入电压幅值不变,改变频率 (2分)下列说法正确的是() D. 竞争-冒险一般是由于信号传输延迟时间不一致引起的 (2分)为实现将JK触发器转换为D A. J=D,K=D (2分)合逻辑电路的有 D. 寄存器 (2分)三态门输出端的三种状态分别是高电平状态,低电平状态和

C. 高阻抗输出状态 (2分)存在约束条件的触发器是 C. JK触发器 (2分)欲对全班53个同学以二进制代码编码表示,最少需要二进制的位数是() B. 6 (2分)所谓三极管工作在饱和状态,是指三极管 C. 发射结反偏置,集电结正偏置 (2分)下列说法是正确的是 A. 施密特触发器的回差电压ΔU=UT+-UT- (2分)一个触发器可记录一位二进制代码它有()个稳态 C. 2 (2分)一个8选一数据选择器的数据输入端有()个 E. 8 (3分)欲使JK触发器按Qn + 1=Qn JK触发器的输入端 A. J=K=1 C. J=Q,K=Q D. J=Q,K=1 E. J=1,K=Q (3分)PLD器件的基本结构组成有 A. 与阵列 B. 或阵列 C. 输入缓冲电路 D. 输出电路 (3分)下列说法正确的是() A. 存储器容量等于字线×位线 C. 试用1024×4位的RAM构成4096×4位的存储单元,需要进行字扩展 D. 试用1024×4位的RAM构成4096×16位的存储单元,需要16片RAM (3分)逻辑表达式Y=AB可以用(CD)实现 C. 正与门

数字逻辑电路学习总结

数字逻辑电路学习总结@ 学号:、 姓名: 学院: 专业: )

数字逻辑电路学习总结 经过一学期的学习,我对数字逻辑电路这门课程总结如下: 一:数字逻辑电路绪论及基础 1.数字信号与模拟信号的区别(数值和时间的连续性与不连续性) 2.数字电路特点:电路结构简单,便于集成化;工作可靠,抗干扰能力强;信息便于长期保存和加密;产品系列全,通用性强,成本低;可进行数字运算和逻辑运算。 : 3.数制转换(二进制、八进制、十六进制、8421BCD码) 十~二:右→左,每三位构成一位八进制,不够补0 二~八:右←左,每一位构成三位二进制 八~二:右→左,每四位构成一位十六进制,不够补0 十六~二:右→左,每一位构成一位二进制 十~8421BCD:每一位组成8421BCD码 4.二进制运算(0+0=0,0+1=1,1+1=10) 5.基本逻辑门(与门、或门、非门、与非门、或非门、异或、同或) % 与门:F=ABC或门:F=A+B+C非门:F|与非门:(AB)| 或非门:F=(A+B)|异或门:F=A|B+AB|=A(+)B 同或门:F=AB+A|B|=A(*)B 6.逻辑代数基本公式及定理

7.最大项与最小项(为互补关系) | 8.逻辑函数化简(代数法和卡诺图法) 卡诺图包围圈尽量大,个数尽量小,要全部包围,包含2^n个方格二:组合逻辑电路 1.组合逻辑电路的分析与设计 任一时刻的输出只取决于同一时刻输入状态的组合,而与电路原有的状态无关的电路 分析:写出表达式,列出真值表,根据化简函数式说明逻辑功能 设计:列出真值表,写出逻辑函数,化简,画逻辑图 2.半加器与全加器的区别(考虑是否进位) ? 3.编码器(二~十进制编码器P120、优先编码器P134) 8-3优先编码器10-4优先译码器 4.译码器(二进制编码器P140、二至十进制译码器P143) 3-8译码器 5.数据选择器

第八章 数字逻辑电路基础知识(清华大学出版)

第八章 数字逻辑电路基础知识 1、数字电路处理的信号是数字信号,而数字信号的时间变量是离散的,这种信号也常称为离散时间信号。 2、数字电路的特点: (1)数字信号常用二进制数来表示。 (2)数字电路中,器件常工作在开关状态,即饱和或截止状态。而模拟电路器件工作在放大状态。 (3)数字电路研究的对象是电路输入与输出的逻辑关系,即逻辑功能。而模拟电路研究的对象是电路对输入信号的放大和变换功能。 (4)数字电路的基本单元电路是逻辑门和触发器。(模拟电路单元是放大器) (5)数字电路的分析工具是逻辑代数。 (6)数字信号常用矩形脉冲表示。 脉冲幅度UM ,表示脉冲幅值; 脉冲宽度tW ,表示脉冲持续作用的时间; 周期T ,表示周期性的脉冲信号前后两次 出现的时间间隔; 3、整数转换一般采用“除基取余”法。小数的转换一般采用“乘基取整”法。 4、8421BCD 码与二进制的区别: 8421210001010001110028)()()(== BCD 码转换成二进制数是不直接的。方法是:先转成十进制数,再转成二进制数。反相转换亦是如此。 5、逻辑变量只有两个值,即0和1,0和1并不表示数量的大小,只表示两个对立的逻辑状态。 6、与逻辑运算表达式:F =A ·B =AB 7、或逻辑运算表达式: F =A+B 8、 非逻辑运算表达式: F =ā

9、数字信号常用二进制数来表示。在数字电路中,常用数字1和0表示电平的高和低。 10、当输入A 、B 均为高电平时,输出低电平当A 、B 中至少有一个。 11、TTL 是晶体管——晶体管逻辑电路的简称。输入和输出部分的开关元件均采用三极管(也称双极型晶体管),因此得名TTL 数字集成电路。 12、TTL 与非门的技术参数 : 1.电压传输特性 AB 段截止区 BC 段线性区 CD 段转折区(开门电压ON U ) DE 段饱和区 大于ON U :保证输出低电平。 13、 (1)输出高电平UOH :指逻辑门电路输出处于截止时的输出电平。(典型值UOH=3.6V , UOH (min )=2.4V 。) (2)输出低电平UOL :指逻辑门电路输出处于导通时的输出电平。(典型值UOL =0.3V ,UOL (max )=0.4V 。 (3)输入高电平UIH :由于UIH 是门电路导通时的最小输入电平,故称为开门电平UON 。 (典型值UIH =3.6V , UIH (min )=2.0V 。) (4)输入低电平UIL :保证门电路输出高电平UOH=2.4V 的最大输入电平,又称为关门电平UOFF 。(典型值UIL =0.3V , UIL (max )= 0.8V 。) 14、 扇入与扇出系数 扇入系数NI :指TTL 与非门输入端的个数。例如一个3输入端的与非门,其扇入系数NI =3。 扇出系数:用来衡量逻辑门的负载能力,它表示一个门电路能驱动同类门的最大数目。 扇出系数分为两种情况:(灌电流负载)即输出低电平:) ()(MAX IL MAX OL OL I I N = (拉电流负载)即输出为高电平:) ()(MAX IH MAX OH OH I I N =. 分别计算出低电平高电平时的扇出系数,若OH OL N N ≠,则取较小的作为电路的扇出系数。 15、平均传输延迟时间tPd 是通导延时时间tPHL 和截止延时时间tPLH 的平均值,即 tPd =(tPHL+tPLH )/2 (tPd 越小,工作速度越快) 16、前面介绍的TTL 与非门输出端不能连接在一起,否则将造成逻辑混乱和器件的损坏。(而OC 门输出端可以相连) 17、OC 门电路的特点:用外接电阻RC 代替了原来的T3、D3和R4部分。

数字逻辑电路基础课的教学体会

致力于打造高品质文档数字逻辑电路基础课的教学体会 1 引言 数字逻辑电路是电类专业重要的基础课程, 是一门理论性和实践性都很强的课程。通过该课程的学习, 希望学生能够具有一定分析问题和解决问题的能力, 进一步希望学生能有设计电路的能力。但这个要求对于我们高职院校的学生而言有一定困难, 我们的学生理论基础薄弱, 学习能力也较差, 所以在学习过程中随着课程难度的增加, 学生对知识的掌握程度变差, 学习的积极性会打折扣。所以帮助学生打好基础, 循序渐进的掌握好这门课程就显得尤为重要。 2 教学体系结构及要求 数字逻辑电路的教学内容主要分成三部分:基本的逻辑门电路和化简、组合逻辑电路、时序逻辑电路。逻辑门电路和化简是基础, 只有这一部分的知识掌握扎实了, 后面的内容才能灵活应用。所以在这一部分内容上要花较多的课时, 帮助甚至强迫学生记忆, 可以采用默写的形式来验证学生的掌握程度;组合逻辑电路和时序逻辑电路是数字逻辑电路的两个大块, 其中包括若干芯片的使用和各种功能电路的搭建。这一部分内容更多的是需要学生自己对芯片有深刻的认识并能合理的使用。所以在这两部分内容上除了教师要详细讲解芯片各引脚和使用外, 可以多给学生留一点时间, 鼓励他们自己思考问题, 思考解决方法。 3 理论教学 3.1 兴趣的培养 任何一门学科, 兴趣都是第一位的, 学生只有对这门课感兴趣了, 才有可能认真去学。所以如何激发学生的学习兴趣也是任课老师需要认真思考的问题。教师要选择合适的教学方法来吸引学生的注意力。我们在该课程的教学上采用项目驱动的方式进行, 也就是在每一堂课的一开始都要将这堂课要完成的任务介绍清楚, 当然在任务的选择上也要保证任务的量以及难度我们的学生可以接受。然后将任务进行分解, 让学生来回答哪些部分是可以完成的, 哪些部分目前已有的知识还不能解决, 这样又可以比较自然地过渡到新的教学内容。总之, 每一堂课都要让学生清楚自己这节课要完成什么任务, 带着目的去学。教师在讲授过程中不断提出问题, 引导学生主动思考, 把问题留给学生, 让他们自己设计提出解决方法。教师可以参与讨论, 并适时引导, 最终形成方案。 3.2 教学思路的把握 数字逻辑电路这门课的重点在于对各种逻辑电路的设计, 而一提到设计电路, 学生马上会觉得难, 潜意识里开始抵触。那么这个时候一定要帮助他们建立信心。所以我们一开始选的设计电路都会比较简单, 比方说可以要求学生设计一个一位二进制数的加法器, 一位二进制加法是在加数相同的时候为0, 不同的时候为1, 那么这个特性就和异或运算相同, 所以利用一个异或门就可以实现。而这一步学生还是很容易想到的, 然后可以在实现了一位加法的基础上再考虑下是否有进位等问题来完善这个加法器, 这样逐步增加其他功能的设计。 在教学的过程中, 项目驱动法是将一个整体的项目分解成几个小项目, 是一种从上至下的思路, 这种教学方式适用于比较熟练的学生;而对于初学者而言, 从最基本的功能电路开始, 一点一点的加入新的功能这种从下而上的教学思路能更激发他们的热情。在教学的过程中可以灵活的运用这两种教学手段达到较好的效

数字逻辑电路

数字逻辑电路 1.电子技术中需要处理的电信号分为信号和信号两类,它们的特点各是什么? 2..数字电路讨论的对象有哪几个特点? 3.实际的脉冲信号中,脉冲的幅度,前沿的时间、后沿的时间、宽度、周期、频率的定义是什么? 4.数字电路中,一般用代表脉冲的有无两种状态 5.数字电路中,二极管、三极管主要工作在状态,研究它们的开关特性时要分析和问题。 6.当二极管加上电压时时二极管导通,相当于开关;当二极管加上电压时,二极管截止,相当于开关。 7.研究二极管的开关特性时要注意二极管的,它影响二极管的响应时间,决定着输入信号的最高频率。另外还要注意,它影响二极管的输出电平。 8.在条件下,三极管处于放大状态,此时I C= 。9.三极管处于饱和状态的特性是什么? 10.三极管处于截止状态的特性是什么? 11.从例5.2.1中总结分析三极管的工作状态的方法和步骤。 【基本逻辑关系】、 12.在正逻辑系统中,用表示高电平,用表示低电平。 13.最基本的逻辑关系有、、三种。14.决定事件各个条件时,事件才发生,这种逻辑关系叫与逻辑关系。15.画出与逻辑符号、写出与逻辑的表达式画出与逻辑的真值表。 16.或逻辑的定义是什么?画出或逻辑符号、真值表,写出或逻辑的逻辑表达式。 17.非逻辑的定义是什么?画出非逻辑的符号、写出非逻辑的表达式。 18.画出二极管的与门电路、或门电路、三极管的非门电路。 19.画出与非门电路、或非门电路。 20.TTL与非门的标准低电平是V,标准高电平是V。21.TTL与非门中,最小输入的高电平是V,此电平是,最大的输入低电平是V,此电平称为。 22.扇出系数N的定义是什么? 23.OC门是开路门电路,使用时要在输出端外接电阻。24.TTL门电路不允许把输出端,而oc门可以把输出端,此时可以实现多个信号之间的关系。 25.三态输入门中,一般有端、端和端。当使能端时,三态门等价于一般的门电路;当使能端时,输出为。 26.CMOS门电路的输出高电平为V,低电平为。它与TTL门电路一起使用时要进行。 【逻辑代数基本定律】

数字逻辑电路学习总结

数字逻辑电路学习总结标准化文件发布号:(9312-EUATWW-MWUB-WUNN-INNUL-DDQTY-KII

数字逻辑电路学习总结 学号:、 姓名: 学院: 专业: 数字逻辑电路学习总结 经过一学期的学习,我对数字逻辑电路这门课程总结如下: 一:数字逻辑电路绪论及基础 1.数字信号与模拟信号的区别(数值和时间的连续性与不连续性) 2.数字电路特点:电路结构简单,便于集成化;工作可靠,抗干扰能力强;信息便于长期保存和加密;产品系列全,通用性强,成本低;可进行数字运算和逻辑运算。 3.数制转换(二进制、八进制、十六进制、8421BCD码) 十~二:右→左,每三位构成一位八进制,不够补0 二~八:右←左,每一位构成三位二进制

八~二:右→左,每四位构成一位十六进制,不够补0 十六~二:右→左,每一位构成一位二进制 十~8421BCD:每一位组成8421BCD码 4.二进制运算(0+0=0,0+1=1,1+1=10) 5.基本逻辑门(与门、或门、非门、与非门、或非门、异或、同或)与门:F=ABC或门:F=A+B+C非门:F|与非门:(AB)| 或非门:F=(A+B)|异或门:F=A|B+AB|=A(+)B 同或门:F=AB+A|B|=A(*)B 6.逻辑代数基本公式及定理 7.最大项与最小项(为互补关系) 8.逻辑函数化简(代数法和卡诺图法) 卡诺图包围圈尽量大,个数尽量小,要全部包围,包含2^n个方格二:组合逻辑电路 1.组合逻辑电路的分析与设计 任一时刻的输出只取决于同一时刻输入状态的组合,而与电路原有的状态无关的电路 分析:写出表达式,列出真值表,根据化简函数式说明逻辑功能 设计:列出真值表,写出逻辑函数,化简,画逻辑图 2.半加器与全加器的区别(考虑是否进位)

数字逻辑电路学习总结

数字逻辑电路学习总结 学号:、 姓名: 学院: 专业:

数字逻辑电路学习总结 经过一学期的学习,我对数字逻辑电路这门课程总结如下: 一:数字逻辑电路绪论及基础 1.数字信号与模拟信号的区别(数值和时间的连续性与不连续性) 2.数字电路特点:电路结构简单,便于集成化;工作可靠,抗干扰能力强;信息便于长期保存和加密;产品系列全,通用性强,成本低;可进行数字运算和逻辑运算。 3.数制转换(二进制、八进制、十六进制、8421BCD码) 十~二:右→左,每三位构成一位八进制,不够补0 二~八:右←左,每一位构成三位二进制 八~二:右→左,每四位构成一位十六进制,不够补0 十六~二:右→左,每一位构成一位二进制 十~8421BCD:每一位组成8421BCD码 4.二进制运算(0+0=0,0+1=1,1+1=1 0) 5.基本逻辑门(与门、或门、非门、与非门、或非门、异或、同或)与门:F=ABC 或门:F=A+B+C 非门:F| 与非门:(AB)| 或非门:F=(A+B)| 异或门:F=A|B+AB|=A(+)B 同或门:F=AB+A|B|=A(*)B 6.逻辑代数基本公式及定理

7.最大项与最小项(为互补关系) 8.逻辑函数化简(代数法和卡诺图法) 卡诺图包围圈尽量大,个数尽量小,要全部包围,包含2^n个方格二:组合逻辑电路 1.组合逻辑电路的分析与设计 任一时刻的输出只取决于同一时刻输入状态的组合,而与电路原有的状态无关的电路 分析:写出表达式,列出真值表,根据化简函数式说明逻辑功能 设计:列出真值表,写出逻辑函数,化简,画逻辑图 2.半加器与全加器的区别(考虑是否进位) 3.编码器(二~十进制编码器P120、优先编码器P134) 8-3优先编码器10-4优先译码器 4.译码器(二进制编码器P140、二至十进制译码器P143) 3-8译码器

数字逻辑电路实验指导书(2016)

Xuzhou Institute of Technology 数字逻辑电路实验指导书 使用班级:15级计算机专业 2016年9月

目录 学生实验守则 (3) 电工电子实验室安全制度 (4) 实验报告要求 (5) 实验一 THD-1数字电路箱的使用 (6) 实验二 TTL集成门电路 (8) 实验三组合逻辑电路设计 (11) 实验四综合实验(组合电路) (14) 实验五译码器、显示器 (15) 实验六触发器 (18) 实验七计数器及其应用 (23) 实验八 555定时器 (26) 实验九移位寄存器 (30) 实验十综合实验(时序电路) (33) 附录1 V-252型双踪示波器 (34) 附录2 EE1641B型函数信号发生器 (38) 附录3 SX2172型交流毫伏表 (40) 附录4 VC9801+型数字万用表 (42) 附录5 EWB电子仿真软件 (44)

学生实验守则 一、参加实验时应衣冠整洁。进入实验室后应保持安静,不要大声喧哗和打闹,妨碍他人学习和实验。不准吸烟,不准随地吐痰,不准乱扔纸屑与杂物。 二、进行实验时必须严格遵守实验室的规章制度和仪器操作规程。爱护仪器设备,节约实验器材,未经许可不得乱动实验室的仪器设备。 三、注意人身安全和设备安全。若仪器出现故障,要立即切断电源并立即向指导教师报告,以防故障扩大。待查明原因、排除故障之后才可继续进行实验。 四、要以严格、认真的科学态度进行实验,结合所学理论,独立思考,分析研究实验现象和数据。 五、实验完毕后必须收拾整理好自己使用的仪器设备,保持实验台整洁,填写实验仪器使用记录。在归还实验仪器后,才能离开。 六、违反实验室规章制度和仪器设备操作规程造成事故、导致仪器设备损坏者,将视情节轻重按实验室设备管理制度处理及赔偿。

相关主题
文本预览
相关文档 最新文档