当前位置:文档之家› 基于FPGA的交通灯控制器设计(VHDl代码全,各个模块均调试通过)

基于FPGA的交通灯控制器设计(VHDl代码全,各个模块均调试通过)

基于FPGA的交通灯控制器设计(VHDl代码全,各个模块均调试通过)
基于FPGA的交通灯控制器设计(VHDl代码全,各个模块均调试通过)

2.3 FPGA 主控实现方案设计

结合已有的PLC 实现和单片机应用实现的经验,并吸收两种设计的优势之处,再根据交通灯控制系统的设计要求和FPGA 模块化功能实现,确定了以下方案,因每个方向相对的信号灯状态及倒计时显示器的显示完全一致,根据设计要求和系统所具有的功能,交通灯控制器系统框图如图2-6 所示。

图2-6 交通灯控制器系统框图

确定的方案中,系统具有复位功能,能使系统重新开始计时;在红绿灯交通信号系统中,大多数的情况是通过自动控制的方式指挥交通的,但为了配合高峰时段,防止交通拥挤,有时还必须使用手动控制。为此主体包括系统复位,手动/自动,红绿灯切换,主控模块,显示器,报警器。具体主控部分用FPGA 来实现。

图2-7 交通灯通行示意图

结合实现方案,主要有东西、南北、南北左拐,东西左拐,跟手动控制5种工作方式,具体由M2~M0设定,具体如表2-1。

2-1 交通灯工作方式表

方式 M2(0:自动,

1:手动) M1(0:A向,

1:B向)

M0(O:直行,

1:左拐)

1 0 0 0

2 0 0 1

3 0 1 0

4 0 1 1

5 1 * *

当出现特殊情况时,可选择方式1 到方式4 中的任何一种方式,停止正常运行,进入特殊运行状态。此时交通灯按工作方式显示,计时电路停止计时,计时时间闪烁显示。当系统总复位时,控制电路和计时电路复位,信号灯全部熄灭。

3 各功能模块的设计与实现

3.1总体设计思路

3.1.1系统组成框图

结合设计任务要求和确定的实现方案,假设某个十字路口是由一条主干道和一条次干道回合而成,在每个方向设置红绿黄3种信号灯,红灯亮禁止通行,绿灯亮允许通行。黄灯亮允许行驶中车辆有时间停考到禁止线以外。

按照自顶向下的层次化设计方法,整个系统可分为4个模块,系统时序发生电路、红绿灯计数时间选择模块、定时控制电路、红绿灯信号译码电路。其系统组成方框图如图3-1所示。

图3-1 交通灯控制器系统组成框图

在自动控制模块时,绿灯亮为55秒,黄灯亮为5秒,红灯亮为60秒。其外部硬件电路方面包括:两组红绿灯(配合十字路口的双向指挥控制)、两级七段显示器(配合绿灯倒计时显示)、一组手动与自动控制开关(针对交通警察指挥交通控制使用)。

3.1.2交通灯的状态转换图

图3-2 主干道状态转换

图3-3 支干道状态转换

由上面的两个干道的状态转换图可知,两个方向的先设计成并列的,即都是按照倒计时信号进行状态跳转,然后再加入两者之间的限制关系和SIGNAL信号。

交通灯的控制过程可以分为四个阶段,对应的输出有四种状态,分别为S0,S1,S2,S3,四个阶段的灯亮状态如表3-2。

表3-2 四个阶段的灯亮状态表

状态灯亮情况格雷码

S0状态主干道绿灯亮,支干道红灯亮00

S1状态主干道黄灯亮,支干道红灯亮01

S2状态主干道红灯亮,支干道绿灯亮11

S3状态主干道红灯亮,支干道黄灯亮10

对于上述的S0和S2状态要加以说明:首先,当主干道方向55秒计时结束时,若支干道方向无车时,这时控制器应继续运行在S0状态,再运行55秒,等到下一次计时结束时再行判断;当主干道55秒计时结束,若支干道方向有车,则按照状态转换图进行跳转。同理,支干道也执行相同的处理。

其次,当支干道开始绿灯亮时,规定必须保持支干道方向一直有车时,支干道方向的绿灯才能继续维持,否则在计数未结束但支干道无车时,执行从S2状态跳转到S3状态;若支干道有车一直维持到55秒计数结束,那么也要执行状态转换。

3.2 功能模块设置及实现

结合前面的系统设计框图和状态设置,把主控部分分为主控制模块,55秒倒计时模块,5秒倒计时模块,倒计时时间选择驱动模块,倒计时时间选择模块,1KHz时钟信号模块,1Hz计数时钟信号模块,倒计时时间数据多路选择模块,动态显示驱动模块,显示数据多路选择模块,显示数据译码模块。

系统采用的是系统级层次设计方法,对整个系统进行方案设计和功能划分,系统的关键电路用一片FPGA芯片实现,首先用VHDL语言编写各个功能模块程序,最后通过综合器和适配器生成最终的目标器件,然后用顶层原理图将各个功能模

块连接起来。下面分别来介绍各个功能模块的实现和调试过程。

3.2.1主控制模块

该模块主要完成根据外部输入信号Reset、SW控制输出,用于控制交通灯的信号Red1,Red2,Yellow1,Yellow2,Green1,Green2。这中间包含了必要的内部模块之间的信号EN_in(来自倒计时时间选择驱动模块)。其源代码见附录1,其仿真结果与编译后的结果如图3-4,3-5所示。

图3-4 主控模块

图3-5 仿真结果

从图3-5可以简单地检查主控模块设计的逻辑上的正确性,同时也可以看到一些简单的延时信息。确认基本正确后,进行下面模块的设计与分析。

3.2.2 55秒倒计时模块

该模块主要完成55秒的倒计时,控制红灯的点亮时间。实际中在1分钟内还要有绿到黄灯的转换以提醒车辆与行人,所以选择了55秒作为绿灯的点亮时间。其源代码见附录1,其仿真结果与编译后的结果如图3-6,3-7所示。

图3-6 55秒倒计时模块

图3-7 仿真结果

从图3-7可以简单地检查55秒倒计时模块的逻辑上的正确性,同时也可以看到一些简单的延时信息。确认基本正确后,进行下面模块的设计与分析。

3.2.3 5秒倒计时模块

该模块主要完成5秒的倒计时,控制黄灯的点亮时间。实际中在1分钟内还要有红到黄灯的转换以提醒车辆与行人,所以选择了55秒作为红灯的点亮时间后,黄灯的点亮时间必定为5秒。其源代码见附录1,其仿真结果与编译后的结果如图3-8,3-9所示。

图3-8 5秒倒计时模块

图3-9 仿真结果

从图3-9可以简单地检查5秒倒计时模块的逻辑上的正确性,同时也可以看到一些简单的延时信息。确认基本正确后,进行下面模块的设计与分析。

3.2.4倒计时时间选择驱动模块

该模块只要完成倒计时时间长短选择的功能,输入信号来自两个倒计时模块,当倒计数完成是产生一个脉冲用于驱动此模块产生00,01,10,11序列来

驱动倒计时时间选择模块。其源代码见附录1,其编译后的结果如图3-10所示。

图3-10 倒计时时间选择驱动模块

3.2.5倒计时时间选择模块

该模块主要完成55秒倒计时与5秒倒计时之间的选择功能,在实际中因为存在着红灯到黄灯再转换为绿灯的这样一个变化过程,而红黄绿灯的点亮时间不相同,一般是绿黄两灯的点亮时间刚好等于红灯,其中,绿灯亮55秒,黄灯亮5秒,红灯亮60

秒。其源代码见附录1,其仿真结果与编译后的结果如图3-11,3-12所示。

图3-11 倒计时时间选择模块

图3-12 仿真结果

从图3-12可以简单地检查倒计时时间选择模块的逻辑上的正确性,同时也可以看到一些简单的延时信息。确认基本正确后,进行下面模块的设计与分析。

3.2.6 1KHz时钟信号模块

该模块主要完成从开发实验板上10MHz的全局时钟信号经过分频得到1KHz 的时钟信号,即完成一个10000分频的分频器。分频器的设计是时序设计的比较基础的知识,在实际系统中用得很多,设计过程与计数器的设计很类似。其源代码见附录1,其编译后的结果如图3-13所示。

图3-13 1KHz时钟信号模块

3.2.7 1Hz计数时钟信号模块

该模块主要完成从模块1KHz中的1KHz时钟信号经过分频得到1Hz的计数时钟信号,即完成一个1000分频的分频器。设计过程与模块6的设计过程基本相同。其源代码见附录1,其编译后的结果如图3-14所示。

图3-14 1Hz计数时钟信号模块

3.2.8 倒计时时间数据多路选择模块

该模块主要完成两组不同倒计时时间数据的选择输出到后续显示模块中。其源代码见附录1,其仿真结果与编译后的结果如图3-15,3-16所示。

图3-15 倒计时时间数据多路选择模块

图3-16 仿真结果

从图3-16可以简单地检查倒计时时间数据多路选择模块的逻辑上的正确性,同时也可以看到一些简单的延时信息。确认基本正确后,进行下面模块的设计与分析。

3.2.9 动态显示驱动模块

该模块主要完成倒计时数码管的动态显示。动态显示即分时显示,但是时间间隔的选择既要保证人眼看起来是同时显示,即不会出现两位数字的断续显示,又要保证不会覆盖显示数字,即不会出现上个数字与下个数字之间的显示过快使得人眼来不及分辨。其源代码见附录1,其仿真结果与编译后的结果如图3-17,3-18所示。

图3-17 动态显示驱动模块

图3-18 仿真模块

从图3-18可以简单地检查动态显示驱动模块的逻辑上的正确性,同时也可以看到一些简单的延时信息。确认基本正确后,进行下面模块的设计与分析。

3.2.10 显示数据多路选择模块

该模块主要完成数码管显示数据的分时选择,以实现分时动态显示。其源代码见附录1,其仿真结果与编译后的结果如图3-19,3-20所示。

图3-19 显示数据多路选择模块

图3-20 仿真结果

从图3-20可以简单地检查显示数据多路选择模块的逻辑上的正确性,同时也可以看到一些简单的延时信息。确认基本正确后,进行下面模块的设计与分析。

3.2.11 显示数据译码模块

该模块主要完成4位BCD码到8位数码管显示数据的译码。译码后的8位数据分别对应数码管的数据段A、B、C、D、E、F、G、DP。其源代码见附录1,其仿真结果于编译后的结果如图3-21,3-22所示。

图3-21 显示数据译码模块

图3-22 仿真结果

从图3-22可以简单地检查显示数据译码模块的逻辑上的正确性,同时也可以看到一些简单的延时信息。确认基本正确后,进行下面模块的设计与分析。

4 总体调试与结果说明

4.1 顶层电路

在设计完各个模块后,需要将各个模块有机地组合成一个整体,最后来实现系统的功能在数字频率计的设计中,同时采用了电路原理图的设计以及Verilog HDL语言来编写的顶层电路。电路原理图见图4-1,具体源代码见附录1,仿真图如图4-2所示。

图4-1 总系统电路图

图4-2 仿真结果

说明:当ga为高电平时表示a方向绿灯亮,同时rb为高电平表示b方向红灯亮;经过55秒,ga变为低电平表示,rb不变,ya变为高电平表示a方向绿灯灭黄灯亮,b方向仍为红灯;经过5秒,gb变为高电平表示b方向绿灯亮,ra为高电平表示a 方向红灯亮;再经过5秒,gb变为低电平,ra不变,yb变为高电平表示b方向绿灯灭黄灯亮a方向仍为红灯。

4.2 过程调试

4.3硬件仿真效果

图4-3 1方向绿灯亮

图4-3表示1方向绿灯亮,2方向红灯亮的过程。

图4-4 2方向绿灯亮

图4-4表示2方向绿灯亮,1方向红灯亮。

从DE2调试结果来看,整个设计结果基本符合设计要求。

5 总结和展望

通过本次课题的研究,利用FPGA技术实现交通灯控制系统主控模块功能,是对传统交通灯控制系统设计的一种改进。本文中采用Verilog HDL语言设计交通灯控制系统,借助其功能强大的语言结构,简明的代码描述复杂控制逻辑设计,与工艺无关特性,在提高工作效率的同时达到求解目的,并可以通过Verilog HDL 语言的综合工具进行相应硬件电路生成,具有传统逻辑设计方法所无法比拟的优越性。

本设计采用Verilog HDL硬件描述语言文本输入方式,在确立总体预期实现功能的前提下,分层次进行描述,其中所用到的数据均可依现场情况而设置,修改方便灵活。须注意的是,两模拟频率不能太高,否则将无法实现。并且,程序中还规定了两计数器的计数范围,与实际结合。整体而言,本设计所耗资源较少,提供了较大的添加范围。其中,人行道的设置并未完成;另外,设计中还有有待完善的地方,如状态之间相互转换的间隔时间的修改,并不能让任何人都能进行此项操作。因此,可利用数显密码锁,来有效防止机内参数被无关人员随意修改,为系统安全提供保障。数字化时代的到来给人们的生活带来了极大的改变,有理由相信随着数字化的深入,交通灯控制器的功能将日趋完善。而且,Verilog HDL 语言对EDA产生的影响也是深远的,它缩短了电子产品的设计周期,为设计者提供了方便。

6 致谢

\\\\\\\\\同时也感谢在开题答辩中针对我的设计指出了许多不足之处的老师们,正是有了你们对我设计的严格要求才能让我在以后的设计过程中去发现自身设计的缺点,让我有了不断改进设计的机会和空间,帮助我能够顺利的实现设计的预期目标。在设计过程中我时常遇到一些技术上的问题,通过查阅资料并和老师交流自己的想法而且在指导老师的指导下解决了技术上的问题,循序渐进地完成每个阶段的任务,对于设计方案进行一次次的改进做到精益求精,这样渐渐地培养了自身独立思考和动手设计能力,对于一个电子信息专业的学生来说这将对我起到了相当大的帮助。

我还要感谢在一起愉快的度过本科生活的51楼416各位同学,正是由于你们的帮助和支持,我才能克服一个一个的困难和疑惑,直至本文的顺利完成。在论文即将完成之际,我的心情无法平静,从开始进入课题到论文的顺利完成,有多少可敬的师长、同学、朋友给了我无言的帮助,在这里请接受我诚挚的谢意!最后我还要感谢培养我长大含辛茹苦的父母,谢谢你们!

参考文献

[1] 周润景等.基于QuartusⅡ的FPGA/CPLD数字系统设计实例[M].北京:电子

工业出版社,2007年8月

[2] 杨晓慧等.基于FPGA的EDA/SOPC技术与VHDL[M].北京:国防工业出版社,

2007年10月

[3] 王诚等.Altera FPGA\CPLD设计(基础篇)[M].北京:人民邮电出版社,2005

年7月

[4] Stephen Brown,Zvonko Vranesic.Fundamentals of Digital Logic with

Verilog Design(2nd Edition)[M].New York:McGraw-Hill,2007,5. [5] Ming-Bo Lin.Digital System Designs and Practices: Using Verilog HDL

and FPGAs[M].New York:McGraw-Hill,2008,8.

[6] 徐光辉.基于FPGA的嵌入式开发与应用[M].北京:电子工业出版社,2006

年9月

[7] 文畅.基于FPGA/CPLD和VHDL语言的交通灯控制系统设计[J].电脑知识与

技术(学术交流),2007,06-08.

[8] 瞿逐春.EDA技术综合应用实例与分析[M].西安:西安电子科技大学出版

社,2004年11月

[9] 杨少军.基于DSP的移频机车信号分析研究与实现[D].武汉:武汉大

学,2006.

[10] 谭卫泽,徐畅.面向FPGA器件的VHDL语言优化设计方法[J].南宁职业技术

学院学报,2008年,13(4):98~100.

[12] 任晓东 文博.CPLD/FPGA高级应用开发指南[M].北京:电子工业出版社,

2003年9月.

[12] 任爱锋.基于FPGA的嵌入式系统设计[M].西安:西安电子科技大学出版社,

2004年10月.

[13] 余俊.基于FPGA的IDE协议实现的研究[J].电子科技. 2008年9月,12(8)

144~156.

附录1 程序代码

..................................................................主控制模块Module

control(EN_in,SW1,RST,Red1,Red2,Yellow1,Yellow2,Green1,Green2);

output Red1;

output Red2;

output Yellow1;

output Yellow2;

output Green1;

output Green2;

input [1:0] EN_in;

input SW1;

input RST;

reg Red1,Red2,Yellow1,Yellow2,Green1,Green2,D_out;

always @(EN_in,RST,SW1)

begin

if(SW1==0||RST==0) {Red1,Red2,Yellow1,Yellow2,Green1,Green2}=6'b0;

else

begin

case(EN_in)

2'b00: {Red1,Red2,Yellow1,Yellow2,Green1,Green2}=6'b010010;

2'b01: {Red1,Red2,Yellow1,Yellow2,Green1,Green2}=6'b011000;

2'b10: {Red1,Red2,Yellow1,Yellow2,Green1,Green2}=6'b100001;

2'b11: {Red1,Red2,Yellow1,Yellow2,Green1,Green2}=6'b100100;

default : {Red1,Red2,Yellow1,Yellow2,Green1,Green2}=6'b0;

endcase

end

end

endmodule ...............................................................55秒倒计时模块 module counter55(C_CLK,RST,C_EN,D_OUT1,D_OUT0,C_out);

output C_out;

output [3:0] D_OUT1;

output [3:0] D_OUT0;

input C_CLK;

input RST;

input C_EN;

reg [3:0] D_OUT1;

reg [3:0] D_OUT0;

reg C_out;

reg [3:0] CData1;

reg [3:0] CData0;

reg [7:0] DATA;

always @(posedge C_CLK)

begin

if(RST==0||C_EN==0)

begin

C_out <= 1'b0;

CData1 <= 4'b0000;

CData0 <= 4'b0000;

end

else

begin

if(CData0 == 4'b0101 && CData1 == 4'b0101) begin

CData1 <= 4'b0000;

CData0 <= 4'b0000;

C_out = 1'b1;

end

else if(CData0 != 4'b1001)

begin

CData0 <= CData0 + 1;

C_out <= 1'b0;

end

else if(CData0 == 4'b1001 && CData1 != 4'b0110)

begin

CData1 <= CData1 + 1;

CData0 <= 4'b0000;

C_out <= 1'b0;

end

else

begin

CData1 <= 4'b0000;

CData0 <= 4'b0000;

C_out = 1'b1;

end

end

end

always

begin

DATA <= 8'b01010101-((CData1<<4)+CData0);

if(((DATA>>4)&4'b1111)>4'b0101)

D_OUT1 <= (DATA>>4)&4'b1111-4'b1111;

else

D_OUT1 <= (DATA>>4)&4'b1111;

if((DATA&4'b1111)>4'b1001)

D_OUT0 <= (DATA&4'b1111)-4'b0110;

else

D_OUT0 <= DATA&4'b1111;

end

endmodule .................................................................5秒倒计时模块 module counter05(C_CLK,RST,C_EN,D_OUT1,D_OUT0,C_out);

output C_out;

output [3:0] D_OUT1;

output [3:0] D_OUT0;

input C_CLK;

input RST;

input C_EN;

reg [3:0] D_OUT1;

reg [3:0] D_OUT0;

reg C_out;

reg [3:0] CData1;

reg [3:0] CData0;

reg [7:0] DA TA;

always @(posedge C_CLK)

begin

if(RST==0||C_EN==0)

begin

C_out <= 1'b0;

CData1 <= 4'b0000;

CData0 <= 4'b0000;

end

else

begin

if(CData0 != 4'b0101)

begin

CData0 <= CData0 + 1;

C_out <= 1'b0;

end

else

begin

CData1 <= 4'b0000;

CData0 <= 4'b0000;

C_out = 1'b1;

end

end

end

always

(完整版)基于FPGA的智能交通灯的设计毕业设计

目录 摘要 ............................................................. I 1 前言 (1) 2 交通红绿灯控制电路的发展与技术现状 (2) 2.1 交通控制系统以及交通红绿灯控制电路的发展现状 (2) 2.2 智能交通红绿灯控制电路技术的现状 (3) 3 VHDL、FPGA、Quartus ii简介 (5) 3.1 VHDL简介 (5) 3.1.1 VHDL简介 (5) 3.1.2 VHDL语言的特点 (6) 3.2 FPGA简介 (8) 3.2.1 PLD器件的设计特点 (8) 3.2.2 FPGA的基本结构 (10) 3.2.3 采用FPGA设计逻辑电路的优点 (11) 3.3 Quartus II 的简介 (12) 4 具体方案论证与设计 (13) 4.1 具体方案论证 (13) 4.2系统算法设计 (15) 4.3 具体电路原理图 (16) 4.4 电路仿真图 (16) 5 实验结果 (17) 总结 (18) 参考文献 ......................................... 错误!未定义书签。附录: .. (19)

基于FPGA的十字路口交通信号灯 摘要 本文主要介绍十字路口交通灯控制器的设计。首先,介绍交通控制系统以及交通红绿灯控制电路的发展现状;然后采用硬件描述语言进行的交通灯控制器设计。重点介绍了控制系统各部分的设计,以及各个模块之间的同步处理。为了克服交通信号灯控制系统传统设计方法的弊端,更加适应城镇交通现状,利用VHDL语言、采用层次化混合输入方式,设计了具有3种信号灯和倒计时显示器的交通信号灯控制系统,在 QuartusⅡ下进行仿真,并下载到FPGA中制作成实际的硬件电路进行了模拟运行.使用该方法设计的交通灯控制系统电路简单、运行可靠、易于实现,可实现对交通信号的控制和显示功能。 关键词 FPGA;QUARTUS ii;HDPLD;十字路口交通灯控制器; Based on FPGA intersection traffic lights Abstract This paper describes the design of intersection traffic signal controller.First, the introduction of traffic control systems and traffic light control circuit of the development status; then using language designed for the traffic light controller.Focus on various parts of the control system

基于FPGA下的交通灯控制器设计

引言 随着城乡的经济发展,车辆的数量在迅速的增加,交通阻塞的问题已经严重影响了人们的出行。 现在的社会是一个数字化程度相当高的社会,很多的系统设计师都愿意把自己的设计设计成集成电路芯片,芯片可以在实际中方便使用。随着EDA技术的发展,嵌入式通用及标准FPGA器件的呼之欲出,片上系统(SOC)已经近在咫尺。FPGA/CPLD 以其不可替代的地位及伴随而来的极具知识经济特征的IP芯片产业的崛起,正越来越受到业内人士的密切关注。FPGA就是在这样的背景下诞生的,它在数字电路中的地位也越来越高,这样迅速的发展源于它的众多特点。交通等是保障交通道路畅通和安全的重要工具,而控制器是交通灯控制的主要部分,它可以通过很多种方式来实现。在这许许多多的方法之中,使用FPGA和VHDL语言设计的交通灯控制器,比起其他的方法显得更加灵活、易于改动,并且它的设计周期性更加短。 城市中的交通事故频繁发生,威胁着人们的生命健康和工作生活,交通阻塞问题在延迟出行时间的同时,还会造成更多的空气污染和噪声污染。在这种情况下,根据每个道路的实际情况来设置交通灯,使道路更加通畅,这对构建和谐畅通的城市交通有着十分重要的意义。

第一章软件介绍 1.1 QuartusⅡ介绍 本次毕业设计是基于FPGA下的设计,FPGA是现场可编程门阵列,FPGA开发工具种类很多、智能化高、功能非常的强大。可编程QuartusⅡ是一个为逻辑器件编程提供编程环境的软件,它能够支持VHDL、Verilog HDL语言的设计。在该软件环境下,设计者可以实现程序的编写、编译、仿真、图形设计、图形的仿真等许许多多的功能。在做交通灯控制器设计时选择的编程语言是VHDL语言。 在这里简单的介绍一下QuartusⅡ的基本部分。图1-1-1是一幅启动界面的图片。在设计前需要对软件进行初步的了解,在图中已经明显的标出了每一部分的名称。 图 1-1-1 启动界面 开始设计前我们需要新建一个工程,首先要在启动界面上的菜单栏中找到File,单击它选择它下拉菜单中的“New Project Wizard”时会出现图1-1-2所显示的对话框,把项目名称按照需要填好后单击Next,便会进入图 1-1-3 显示的界面。

通过Verilog实现交通灯设计实验报告

电子科技大学 实 验 报 告 一、实验室名称:虚拟仪器实验室 二、实验项目名称:交通灯设计实验 三、实验学时:4学时 四、实验原理

假设交通灯处于南北和东西两条大街的“十”字路口,如图1所示。用FPGA 开发板的LED 灯来模拟红、黄、绿3种颜色信号,并按一定顺序、时延来点亮LED ,如图2所示。图3给出了交通灯的状态转移图。设计使用频率为1Hz 的时钟来驱动电路(注1:仿真时采用1MHz 的时钟来驱动电路),则停留1个时钟可得到1S 的延时,类似停留3个时钟可得到3S 的延时,停留15个时钟可得到15S 的延时(注2:开发板工作时钟为50MHz )。 北 南 西东 图1. 六个彩色LED 可以表示一组交通信号灯 图2. 交通灯状态 南北 东西 红 黄 绿 红 黄 绿 S0 1 0 0 0 0 1 S1 1 0 0 0 1 0 S2 1 0 0 1 0 0 S3 0 0 1 1 0 0 S4 0 1 0 1 0 0 S5 1 0 0 1 0 0

图3. 交通灯的状态转移图 顶层模块 时钟分频模块状态机跳转模块 图4. 交通灯的原理框图 五、实验目的 本实验是有限状态机的典型综合实验,掌握如何使用状态转移图来定义Mealy状态机和Moore状态机,熟悉利用HDL代码输入方式进行电路的设计和仿真的流程,掌握Verilog语言的基本语法。并通过一个交通灯的设计掌握利用EDA软件(Xilinx ISE 13.2)进行HDL代码输入方式的电子线路设计与仿真的详细流程。。 六、实验内容 在Xilinx ISE 13.2上完成交通灯设计,输入设计文件,生成二进制码流文件下载到FPGA开发板上进行验证。 七、实验器材(设备、元器件)

基于FPGA的交通灯课程设计报告

总体设计要求和技术要点 1.任务及要求 (1)设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。 (2)红、绿、黄发光二极管作信号灯,用传感器或逻辑开关作检测车辆是否到来的信号。 (3)主干道处于常允许通行的状态,支干道有车来时才允许通行。主干道亮绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯。 (4)主、支干道均有车时,两者交替允许通行,主干道每次放行45秒,支干道每次放行25秒,设立45秒、25秒计时、显示电路。 (5)在每次由绿灯亮到红灯亮的转换过程中,要亮5秒黄灯作为过渡,使行驶中的车辆有时间停到禁行线外,设立5秒计时、显示电路。 (6)按《湖南涉外经济学院课程设计管理办法》要求提交课程设计报告。 工作内容及时间进度安排 第17周:周1---周2 :立题、论证方案设计 周3---周5 :程序设计与调试 第18周:周1---周3 :硬件调试与测试、撰写课程设计报告 周4---周5 :验收答辩 课程设计成果 1.与设计内容对应的软件程序 2.课程设计总结报告

摘要 本实验为自主选题设计实验,实验选择具有倒计时显示功能的红黄绿三色交通设计,实验中采用VHDL 作为设计功能描述语言,选用Altera公司的EP1K30144-PIN TQFP最为主控芯片,实验报告中简要介绍了FPGA器件,并给出了设计原理图,详细的介绍了交通灯的设计流程,实验报告中还附有实验代码实验结果照片图。 Abstract This experiment designed for independent choice experiment, experiment choice which has the function of the countdown display red yellow green traffic design, description language (VHDL as design function is applied in the experiments, the most main control chip select MAX II EPM240T100C5 Altera company, experiment report, this paper briefly introduces the MAX II device series, and gives the design diagram, detailed introduces the traffic lights of the design process, the experiment report with the code results photo graph.

基于FPGA的交通灯设计说明

交通信号灯控制器

目录 第一章系统设计 1.1设计要求 (3) 1.2 方案比较 (3) 1.3方案论证 (3) 1.3.1总体思路 (4) 1.3.2设计方案 (5) 第二章单元电路设计 2.1 4位二进制计数器 (6) 2.2 两位二进制计数器 (6) 2.3定时时间到检测电路 (6) 2.4红黄绿灯输出控制电路 (6) 2.5计时器 (6) 第三章软件设计 3.1用VHDL编写程序 (6) 3.2 程序流程 (7) 3.3程序清单及仿真 (7) 第四章系统测试 (7) 第五章结论 (8) 参考文献 (9) 附录 (10)

0 引言 随着经济的飞速发展,现代化交通管理成了当今的热点问题。一个完善的交通控制功能,可使混乱的交通变得井然有序,从而保障了人们的正常外出。本系统通过设计一交通信号灯控制器,达到交通控制的目的。除实现交通灯基本的控制功能外,系统还可显示该灯本次距灯灭所剩的时间,具有更完善的控制功能,使行人提前做好起、停准备,具有更强的实用性。 第1章 系统设计 1.1设计要求 (1) 交通灯从绿变红时,有4秒黄灯亮的间隔时间。 (2) 交通灯红变绿是直接进行的,没有间隔时间。 (3) 主干道上的绿灯时间为20秒,支干道的绿灯时间为10秒。 (4) 在任意时间,显示每个状态到该状态结束所需要的时间。 1.2方案比较 要实现对交通灯的控制,有很多的方案可供选择。 方案一:由两块CMOS 集成电路完成定时和序列控制功能,三只双向晶体管完成实际的电源切换功能。电路中采用10V 负电源(可由市电电压经降压、整流、滤波、稳压而得)、CD4049集成电路、计数器CD4017等器件。其中双向晶闸管选用400V 、4A 的,二极管选用BY127型和1N4148型,稳压管选用10V 、1W 的。因直接使用市电工作,故在安装和使用时安全系数较低,且硬件电路复杂,所用器件多。 方案二:运用VHDL 语言分别控制分频和状态机两个模块, 即信号源经分频器分频后得到1Hz 脉冲,输出脉冲控制状态机中预置四个状态的循环,从而达到交通控制作用.该方案电路结构简单,使用器件少,易于安装和使用.但不宜于电路扩展,适用围小,应用不广泛. 方案三:采用VHDL 语言输入的方式实现交通信号灯控制器,并灵活运用了通用元件CBU14和CBU12作为4位二进制计数器和两位二进制计数器,简化了硬件电路,同时也给调试、维护和功能的扩展、性能的提高带来了极大的方便。 分析以上三种方案的优缺点,显然第三种方案具有更大的优越性、灵活性,所以采用第三种方案进行设计。 1.3 方案论证 1.3.1 总体思路 系统交通管理示意图如图1.3.1. 主干道 支干道 图1.3.1 路口交通管理示意图 由此可得出交通信号灯A 、B 、C 、D 的4种状态:

基于FPGA的交通灯(verilog)

基于同步FSM交通信号控制器 试验目的 1、进一步熟悉FSM原理; 2、交通信号控制逻辑的抽象建模方法; 3、掌握同步有限状态机的置位与复位方法; 3、掌握编写可综合的FSM一般指导原则; 试验原理 Verilog HDL和VHDL亍为描述用于综合还只有十年的历史,可综合风格的VerilogHDL和VHD啲语法只是它们各自语言的一个子集;HDL的可综合性研究近年来非常活跃,可综合子集的国际标准目前尚未最后形成,因此,各厂商的综合器所支持的HDL子集也略有不同;对于有关可综合的VerilogHDL的内容我们只着重于介绍RTL算法级和门级结构的描述;把一个时序逻辑抽象成一个同步有限状态机是设计可综合VerilogHDL 模块的关键。有限状态机是设计各种时序逻辑电路的关键。具体的有限状态机的原理可以参看试验七有关原理的介绍。下面介绍一般的可综合有限状态机的编写原则 每个always 块只能有一个事件控制@(event_expression) ,而且要紧跟在 always 关键字后面; always 可以表示时序逻辑或者组合逻辑;也可以用always 块既表示电平敏感的锁存器又同时表示组合逻辑; 带有posedge或negedge关键字的事件表达式表示边沿触发的时序逻辑,没有posedge或negedge关键字的表示组合逻辑或者电平敏感的锁存器,或者两者都表示; 每个表示时序的always 块只能由一个时钟跳变沿触发,置位和复位最好也由该始终跳变沿触发; 每个在always 块中赋值的信号必须定义为reg 类型或者整型; Always 块中应该避免组合反馈回路; 实验步骤和实验内容 1、本试验交通信号控制灯的逻辑关系该交通信号灯控制器用于控制一条主干道与一 条乡村公路的交叉口的交通 ( 如图8-1 所示) ,它必须具有下面的功能;由于主干道上来往的车辆较多,因此控制主干道的交通信号灯具有最高优先级,在默认情况下,主干道的绿灯点亮;乡村公路间断性地有车经过,有车来时乡村公路的交通灯必须变为绿灯,只需维持一段足够的时间,以便让车通过。只要乡村公路上不再有车辆,那么乡村公路上的绿灯马上变为黄灯,然后变为红灯;同时,主干道上的绿灯重新点亮;一传感器用于监视乡村公路上是否有车等待,它向控制器输入信号X;如果X=1,则

基于FPGA的交通灯设计报告

合肥学院综合课程设计报告 题目:基于FPGA的交通灯设计 专业:电子信息工程 班级:09电子(2)班 姓名:周峰 导师: 成绩: 2012年12月11日

基于FPGA的交通灯设计 一:题目要求 1:主干道绿灯时,支干道红灯亮,反之亦然,两者交替允许通行。主干道每次放行40秒,支干道每次放行30秒。每次路灯亮,前10秒为左转灯亮,后5秒为黄灯亮。余下为直行灯亮、 2:能实现正常的倒计时显示功能。 3:能实现总体清零功能;计数器由初始状态开始计数,对应状态的指示灯亮。二:题目分析 1:在十字路口东西方向和南北方向各设一组左转灯、;显示的顺序为:左转灯绿灯黄灯红灯。 2:设计一个倒计时显示器。倒计时只显示总体时间。主干道左转灯、红灯、绿灯和黄灯亮的时间分别是10秒、30秒、25秒、5秒。支干道左转灯、红灯、绿灯和黄灯亮的时间分别是10秒、40秒、15秒、5秒状态表如表3-1所示:3 三:选择方案 1:方案一 在VHDL设计描述中,采用自顶向下的设计思路,该思路在自顶向下的VHDL 设计描述中,通常把整个设计的系统划分为几个模块,然后采用结构描述方式对整个系统进行描述。根据实验设计的结构功能,来确定使用哪些模块以及这些模块之间的关系。通过上面的分析,不难得知可以把交通灯控制系统划分为3个模块:时钟模块、控制模块、分频模块。 2:方案二 不采用方案一的分模块设计,直接用进程写程序。该程序由7个进程组成,进程P1将CLK信号分频后产生1秒信号,P2形成0-49的计数器,进程P3、P4用来控制的信号灯亮灭的,其中P5、P6产生数码管显示的倒数的十进制形式。进程P7实现状态转换和产生状态转换的控制信号,进而控制数码管显示。 由于方案一中使用进程会使程序变得很复杂,不易理解,所以我采用了方案二。

基于FPGA的交通灯设计(状态机)

基于FPGA的交通灯设计规范 一、功能描述: 本设计实现一个交通信号灯,具体功能如下: 1.异步信号复位,复位后1组为红灯亮2组为绿灯亮,数码管显示从24开始 依次递减计数 2.实现红黄绿灯的延时交替亮灭,分两组灯,1组红灯亮时,2组为黄灯,5 秒后,1组红灯亮,2组绿灯亮;25秒后,1组黄灯亮,2组红灯亮;5秒后,1组绿灯亮,2组红灯亮。如此交替重复 3.计时时间25秒、5秒显示在数码管上。分别为:从24依次递减到0,从4 依次递减到0 二、输入输出信号描述:

系统结构框图 顶层模块说明: 1、fenpin:将50MHz晶振转为1Hz作为时钟频率; 2、delay:计数延时; 3、state:指出状态转移顺序; 4、shuma:将计数延时用数码管输出显示。 设计说明: 设计分为分频、延时、状态机、数码管显示四个模块。分频,将50MHz的系统时钟转为1Hz。计数延时,让状态机能在合适的时间点进行状态切换。状态机,完成状态间的切换,输出。数码管显示,将延时模块的计时输出值转换为数码管输出显示。 状态机的输出状态信号标志flag=out[1]|out[4],即为:判断此时的两组输出是否有黄灯亮。flag_data=flag,作为计数延时模块的输入,用状态信号标志flag_data和计数值cnt来共同控制计数模块是5秒还是25秒。 四、子模块描述: 4.1、fenpin:分频模块 1、功能描述 将实验板上的50MHz的石英晶振频率转为1Hz。 2、管脚描述

每当clock时钟上升沿来临时,内部寄存器sum从0递加,加至25000000时,对clk进行取反操作,则可得到频率为1Hz的clk时钟 4.2、delay:延时模块 1、功能描述 计数延时,让状态机能在合适的时间点进行状态切换。 用计数值和状态信号标志的与结果(cnt==0 && flag_data)来判断计数延时的初始值应为24还是4 4.3、state:状态机模块 1、功能描述 完成状态间的切换,输出。 状态信号标志flag=out[1]|out[4]。即为检测当前是否有黄灯亮。 注:out[5:3]对应1组灯的:红黄绿 out[2:0]对应2组灯的:红黄绿 4.4、shuma:数码管显示模块 1、功能描述 将延时模块的计时输出值转换为数码管输出显示。

基于FPGA的交通灯设计开题报告

西京学院 本科毕业设计(论文)开题报告题目:基于FPGA的交通灯设计 教学单位:xxx 专业:xxx 学号: xxx 姓名: xxx 指导教师:xxx xxxx年xx月 开题报告填写要求

1.开题报告作为毕业设计(论文)答辩委员会对学生答辩资格审查的依据材料之一。此报告应在指导教师指导下,由学生在毕业设计(论文)工作前期内完成,经指导教师签署意见及所在院(系)审查后生效。 2.开题报告内容必须按教务处统一设计的电子文档标准格式(可从教务处网页上下载)填写并打印(禁止打印在其它纸上后剪贴),完成后应及时交给指导教师签署意见。 3.开题报告字数应在1500字以上,参考文献应不少于15篇(不包括辞典、手册,其中外文文献2篇),文中引用参考文献处应标出文献序号,“参考文献”应按照国标GB 771至少4—87《文后参考文献著录规则》的要求书写。 4.指导教师意见和所在院(系)意见用黑墨水笔书写,并亲笔签名。 5. 年、月、日的日期一律用阿拉伯数字书写,例:“2005年11月26日”或“2005-11-26”。

1.毕业设计(论文)题目背景、研究意义及国内外相关研究情况。 1、课题背景和意义: 今年来,随着汽车数量的猛增,我国中大型城市的城市交通,正面临着严峻的考验,从而导致交通问题的日益严重,其主要表现如下:交通事故的频发,对人类生命安全造成极大威胁;交通拥堵严重,导致出行时间增加,能源消耗加大;空气污染和噪声污染程度日益增加等。日常的交通堵塞成为人们司空见惯而有不得不忍受的问题。在这种背景字儿,结合我国城市道路交通的实际情况,开发出真正适合我们自身特点的智能信号灯控制系统已经成为当前的主要任务。 和谐的城市交通具有很重要的现实意义,城市交通是城市经济生活的命脉,是衡量一个城市文明进步的标志,对于城市经济的发展和人民生活水平的提高起着十分重要的作用。作为城市交通网的重要组成部分。交叉口是道路通行能力的瓶颈和交通阻塞及事故的多发地。城市的交通拥堵,大部分是由于交叉口的通行能力不足或没有充分利用造成的,这导致车流中断、事故增多、延误严重。对交叉实行科学的管理与控制是交通控制工程的重要研究课题,是保障交叉口的交通安全和充分发挥交叉口的通行能力的重要措施,是解决城市交通问题的有效途径。所以,改变和完善我国现有的交通系统已成为当务之急。 2、国内外研究情况: 目前设计交通灯的方案有很多,有应用CPLD设计实现交通灯控制方法;有应用PLC实现对交通灯控制系统的设计;有应用单片机实现对交通灯设计的方法;还有用FPGA实现交通灯的设计;目前国内的交通灯一半设计在十字路口,在醒目位置用红、绿、黄三种颜色的指示灯,加上一个倒计时的显示计时器来控制行车,对于一般情况下的安全行车,车辆分流尚能发挥作用,但根据实际行车过程中出现的情况,还存在以下缺点:1、两车道的车辆轮流放行时间相同,在十字路口,经常一个车道为主干道,车辆较多,放行时间应长些;另一车道为副干道,车辆较少,放行时间应短些。2、两条干道的红绿时间不能随时间改变而修改。

基于FPGA的交通灯控制器设计

交通灯控制器设计 专业:计算机应用技术 班级:计应2 班 学号:147030201 姓名:蔡利军

基于FPGA的交通灯控制器设计 摘要 超高速硬件描述语言VHDL,是对数字系统进行抽象的行为与功能描述到具体的内部线路结构描述,利用EDA工具可以在电子设计的各个阶段、各个层系进行计算机模拟验证,保证设计过程的正确性,可大大降低设计成本,缩短设计周期。本文介绍的数字秒表设计,利用基于VHDL的EDA设计工具,采用大规模可编程逻辑器件FPGA,通过设计芯片来实现系统功能。 交通灯控制系统可以实现路口红绿灯的自动控制。基于FPGA设计的交通灯控制系统具有电路简单、可靠性强、实时快速擦写、运算速度高、故障率低、可靠性高,而且体积小的特点。本设计采用Altera公司Cyclone系列的EPlC3T1444C8芯片,在Quartus II软件平台上使用VHDL语言,采用自顶向下的设计方法对系统进行了模块化设计和综合,并进行了仿真。该系统可实现十字路口红绿灯及左转弯控制和倒计时显示,仿真结果结果表明系统能够自动控制交通灯转变。 关键词:VHDL,交通灯,EDA

目录 前言 (1) 第1章 FPGA概述 (2) 1.1 FPGA的简介 (2) 1.2 FPGA的应用 (2) 第2章 VHDL硬件描述语言 (3) 2.1 VHDL程序基本结构 (3) 2.1.1 实体 (4) 2.1.2 结构体 (4) 2.1.3 库 (5) 2.2 VHDL语言 (5) 2.2.1 VHDL文字规则 (5) 2.2.2 VHDL数据对象 (5) 2.2.3 VHDL数据类型 (6) 2.2.4 VHDL 顺序语句 (6) 2.2.5 VHDL并行语句 (6) 第3章系统设计与仿真 (7) 3.1 系统介绍 (7) 3.1.1 设计任务 (7) 3.1.2 设计要求 (7) 3.2 系统设计仿真 (8) 3.2.1 顶层框图的设计 (8) 3.2.2 时序状态图的设计 (9) 3.2.3 工程设计流程框图: (10) 3.2.4 芯片的选择 (10) 3.2.5 各个模块的设计与仿真 (11) 结论 (27) 附录..................................... 错误!未定义书签。

基于FPGA的交通灯控制器设计_课程设计报告

《EDA技术》课程实验报告 课程名称:EDA技术及应用 报告题目:交通灯控制器设计 学部:信息科学与工程 姓名: 学号: 班级: 同组者: 指导教师:

信息科学与工程学院2012-2013学年第二学期

课程设计任务书 报告题目交通灯控制器的设计完成时间 6.19 学生姓名陈外流专业 班级 电信 1002班 指导教师曹铁军职称教授总体设计要求和技术要点 1.任务及要求 (1)设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。 (2)红、绿、黄发光二极管作信号灯,用传感器或逻辑开关作检测车辆是否到来的信号。 (3)主干道处于常允许通行的状态,支干道有车来时才允许通行。主干道亮绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯。 (4)主、支干道均有车时,两者交替允许通行,主干道每次放行45秒,支干道每次放行25秒,设立45秒、25秒计时、显示电路。 (5)在每次由绿灯亮到红灯亮的转换过程中,要亮5秒黄灯作为过渡,使行驶中的车辆有时间停到禁行线外,设立5秒计时、显示电路。 (6)按《湖南涉外经济学院课程设计管理办法》要求提交课程设计报告。 工作内容及时间进度安排 第17周:周1---周2 :立题、论证方案设计 周3---周5 :程序设计与调试 第18周:周1---周3 :硬件调试与测试、撰写课程设计报告 周4---周5 :验收答辩 课程设计成果 1.与设计内容对应的软件程序 2.课程设计总结报告

摘要 本实验为自主选题设计实验,实验选择具有倒计时显示功能的红黄绿三色交通设计,实验中采用VHDL 作为设计功能描述语言,选用Altera公司的EP1K30144-PIN TQFP最为主控芯片,实验报告中简要介绍了FPGA器件,并给出了设计原理图,详细的介绍了交通灯的设计流程,实验报告中还附有实验代码实验结果照片图。 Abstract This experiment designed for independent choice experiment, experiment choice which has the function of the countdown display red yellow green traffic design, description language (VHDL as design function is applied in the experiments, the most main control chip select MAX II EPM240T100C5 Altera company, experiment report, this paper briefly introduces the MAX II device series, and gives the design diagram, detailed introduces the traffic lights of the design process, the experiment report with the code results photo graph.

基于FPGA设计——交通灯

FPGA课程设计——交通灯控制器 通信工程学院 电科0701班 罗超(17)

第一部分技术规范 1.1功能描述: 实现一个由一条主干道和一条支干道的汇合点形成的十字路口的交 通灯控制器,具体功能: (1) 主、支干道各设有一个绿、黄、红指示灯,两个显示数码管。 (2) 主干道处于长允许通行状态,而支干道有车来时才允许通行。当主干道允许通行亮绿灯时,支干道亮红灯。而支干道允许通行亮绿灯时,主干道亮红灯。 (3) 当主干道、支干道均有车时,两者交替允许通行,主干道每次通行45 秒,支干道每次通行25 秒,在每次由绿灯向红灯转换的过程中,要亮5 秒的黄灯作为过渡,并进行减计时显示。每个周期结束时都要进行支干道是否有车的检测,若有车则进行下一个周期,若没有,则主干道亮绿灯,支干道亮红灯,直到检测到支干道有车。 1.2系统总体框图: 根据设计要求和系统所具有的功能,并参考相关的文献资料,经行方案设计,可以画出如下图所示的交通信号灯控制器的系统框图。

I/O 管脚的描述 名称 方向 电平 位宽 功能 clk Input 3.3V 1 系统时钟信号(10KHZ ) carsignal Input 3.3V 1 检测支路是否有车 rst Input 3.3V 1 复位信号 led Output 3.3V 6 LED 灯 sel Output 3.3V 7 数码管段码 seg Output 3.3V 4 数码管位码 时钟分频模块 交通灯控制模块 扫描显示译码模块 clk rst carsignal 计时模块 数码管段码 sel 数码管位码 seg LED 灯

基于FPGA交通灯设计

河南科技学院新科学院数字系统课程设计报告书 课题名称基于FPGA的交通灯设计 院系新科学院 姓名学号夏文平、2013280218 专业班级通信工程、通信132 指导教师刘艳昌、雷进辉 设计时间2014-2015学年第2学期12、13周 2015年 6月 5 日

摘要 (1) 关键词 (1) Ⅰ课程设计目的 (1) Ⅱ设计任务及要求 (1) Ⅲ系统设计总体方案 (2) Ⅳ各模块具体实现 (2) ㈠分频模块 (2) ㈡倒计时模块 (3) ㈢转码模块 (5) ㈣交通控制模块 (6) Ⅴ系统仿真及硬件下载 (10) Ⅵ遇到的问题及分析 (12) Ⅶ结论与心得 (12) 参考文献 (13)

摘要 交通灯信号控制器通常要实现自动控制和手动控制其红绿灯的变化,基于FPGA设计的交通灯信号控制器电路简单、可靠性好。 本设计可控制2个路口的红、黄、绿三盏灯.让其按特定的规律进行变化。利用QuartusⅡ对设计结果进行仿真,发现系统工作性能良好。据此设计而成的硬件电路,也实现了控制要求。 关键词:交通灯自动控制手动控制 Ⅰ课程设计目的。 巩固加深所学电子技术课程的基本知识,提高综合运用所学知识的能力。同时培养学生选用参考书、查阅手册、图表和文献资料的能力,提高解决实际问题的能力。并且,在设计方案分析比较、设计计算、电路安装等缓解掌握使用电路的设计方法。然后提高学生的动手能力,掌握仪器设备的正确使用方法。最后了解与课题有关的电路以及元器件的工程技术规范,能按课程设计任务数的要求编写设计说明书,可以正确反映设计和实验成果,能正确绘制电路图等。 Ⅱ设计任务及要求。 设计一个十字路口的交通灯控制电路,要求甲车道和乙车道两条交叉道路上的车辆交替运行,每次的通行时间都设为25秒。要求黄灯先亮5秒,才能变换运行车道并且黄灯亮时另一干道的红灯按1Hz 的频率闪烁。要求通行时间及黄灯亮的时间均可在60秒内任意设定。

(完整版)基于FPGA的交通灯毕业设计论文

基于FPGA的交通灯设计 摘要EDA工具对于电子设计人员来说极其重要,它可以在电子设计的各个阶段、层次进行计算机模拟验证,确保设计的准确性,可缩短设计周期,降低设计成本。本文介绍的是数字信号交通灯,利用EDA设计工具,采用VHDL语言开发设计,并通过FPGA(即现场可编程门阵列)芯片设计来实现系统控制功能。 数字信号交通灯可以实现十字路口红绿灯的自动控制。基于FPGA的交通灯设计系统具有可靠性强、实时快速擦写、运算速度高、故障率低、电路简单,且体积小的特点。本毕业设计采用的是Altera公司CycloneII系列的EP2C5T144芯片作为核心最小系统,它可以方便嵌入到实际的交通灯应用系统中,可以完成简单的逻辑控制、数据采集、信号处理、数学计算等功能;使用QuartusII软件作为开发平台;采用自顶向下的设计思路对系统进行模块化设计和综合,并通过波形仿真和硬件实现两种方式实现并验证数字信号交通灯的功能。 关键词VHDL,交通灯,EDA ABSTRACT

EDA tools is extremely important to electronic designers, to ensure the accuracy of the design ,it can verify computer simulations in all stages and levels of electronic design ,it can also shorten the design cycle and reduce design costs .This article describes a digital signal traffic lights ,using EDA design tools ,and VHDL ,and FPGA(the Field Programmable Gate Arrays),through the chip to design system control functions. Digital signal traffic light traffic lights can achieve automatic control of the crossroads .The design of FPGA-based traffic light system , lower rate of fault, simple circuit and small volume. During this graduation project, I take the Altera Corporation CycloneII series EP2C5T144 chip as the minimum system core, it can be easily embedded in the actual application of the traffic light system, it can do simple logic control, data acquisition, signal processing, mathematical calculations and other functions;using QuartusII as development platform; using top-down design ideas to system modular design and synthesis, and through waveform simulation and two ways to complete and verify the function of digital signal traffic lights.

基于FPGA交通灯控制器设计

基于F P G A交通灯控制 器设计 This model paper was revised by the Standardization Office on December 10, 2020

摘要 随着现代城市及交通工具的发展,交通事故也急剧增加,为了改善交通秩序及减少交通事故,交通灯起着越来越重要的作用。在越来越多的城市的各个路口上安装了交通灯,来改善交通秩序。 现代城市在日常运行控制中,越来越多的使用红绿灯对交通进行指挥和管理。而一套完整的交通灯控制系统通常要实现自动控制和手动控制去实现其红绿灯的转换。基于FPGA 设计的交通灯控制系统电路简单、可靠性好。本设计利用Verilog HDL 语言、采用层次化混合输入方式,可控制4个路口的红、黄、绿、左转四盏信号灯,让其按特定的规律进行变化。在QuartusⅡ下对系统进行了综合与仿真。仿真结果表明系统可实现十字路口红绿灯及左转弯控制和倒计时显示,并能够自动控制交通灯转变。 关键词:FPGA,交通灯控制器,QuartusⅡ,Verilog HDL

Abstract With the development of modern cities and transport, traffic accidents also increased dramatically, plays an increasingly important role in order to improve traffic order and reduce traffic accidents and traffic lights. More and more all the roads of the city to install traffic lights to improve traffic city, more and more control of the day-to-day running of the traffic light traffic command and management. And a complete set of traffic light control system is usually to achieve automatic control and manual control to achieve the conversion of its traffic lights. FPGA design-based traffic light control system circuit is simple and good reliability. The design using Verilog HDL language using hierarchical mixed input, and can control the four junctions of red, yellow, green, and turn left at the four signal lights, so that a particular law of change. QUARTUS Ⅱ system synthesis and simulation. The simulation results show that the system can achieve the crossroads of traffic lights and turn left control and countdown display and can automatically control the traffic lights into. Key Words:FPGA ,traffic light controller, Quartus II, The Verilog HDL

基于VHDL的交通灯设计(EDA课程设计报告)

EDA课程设计实验报告 交通信号控制器的VHDL的设计 一、设计任务及要求: 设计任务:模拟十字路口交通信号灯的工作过程,利用实验板上的两组红、黄、绿LED作为交通信号灯,设计一个交通信号灯控制器。要求: (1)交通灯从绿变红时,有4秒黄灯亮的间隔时间; (2)交通灯红变绿是直接进行的,没有间隔时间; (3)主干道上的绿灯时间为40秒,支干道的绿灯时间为20秒; (4)在任意时间,显示每个状态到该状态结束所需的时间。 支干道 主干道 图1 路口交通管理示意图 设计要求: (1)采用VHDL语言编写程序,并在QUARTUSII工具平台中进行仿真,下载到EDA实验箱进行验证。 (2)编写设计报告,要求包括方案选择、程序清单、调试过程、测试结果及心得体会。 二设计原理

1、设计目的: 学习DEA开发软件和QuartusII的使用方法,熟悉可编程逻辑器件的使用。通过制作来了解交通灯控制系统,交通灯控制系统主要是实现城市十字交叉路口红绿灯的控制 2’设计说明 (1)第一模块:clk时钟秒脉冲发生电路 在红绿灯交通信号系统中,大多数情况是通过自动控制的方式指挥交通的。因此为了避免意外事件的发生,电路必须给一个稳定的时钟(clock)才能让系统正常运作。 模块说明: 系统输入信号: Clk: 由外接信号发生器提供256的时钟信号; 系统输出信号: full:产生每秒一个脉冲的信号; (2)第二模块:计数秒数选择电路 计数电路最主要的功能就是记数负责显示倒数的计数值,对下一个模块提供状态转换信号。 模块说明: 系统输入:full: 接收由clk电路的提供的1hz的时钟脉冲信号; 系统输出信号:tm:产生显示电路状态转换信号 tl:倒计数值秒数个位变化控制信号 th:倒计数值秒数十位变化控制信号 (3)第三模块:红绿灯状态转换电路 本电路负责红绿灯的转换。 模块说明: 系统输入信号:full: 接收由clk电路的提供的1hz的时钟脉冲信号; tm: 接收计数秒数选择电路状态转换信号; 系统输出信号:comb_out: 负责红绿灯的状态显示。 (4)第四模块:时间显示电路 本电路负责红绿灯的计数时间的显示。 模块说明: 系统输入信号:tl:倒计数值秒数个位变化控制信号; th:倒计数值秒数十位变化控制信号;

基于FPGA的交通灯控制器设计

数字系统课程设计基于FPGA的交通控制灯设计 姓名: 学号: 班级:

摘要 随着社会的发展,城市规模的不断扩大,城市交通成为制约城市发展的一大因素。人口和汽车日益增长,市区交通也日益拥挤,人们的安全问题当然也日益重要。因此,红绿交通信号灯成为交管部门管理交通的重要工具之一。有了交通灯,人们的安全出行也有了很大的保障。自从交通灯诞生以来,其内部的电路控制系统就不断的被改进,设计方法也开始多种多样,从而使交通灯显得更加智能化。尤其是近几年来,随着电子与计算机技术的飞速发展,电子电路分析和设计方法有了很大的改进,电子设计自动化也已经成为现代电子系统中不可或缺的工具和手段,这些都为交通灯控制系统的设计提供了一定的技术基础。本课程设计运用erilog HDL语言描述交通控制器,通过状态机计数法,实现设计所要求的交通灯控制及时间显示,并最后进行了软件实现,达到了系统要求的功能。

设计原理 1.1设计要求 设计一个交通控制器,用LED 显示灯表示交通状态,并以7段数码显示器显示当前状态剩余秒数 主干道绿灯亮时,支干道红灯亮;反之亦然,二者交替允许通行,主干道每次放行35s ,支干道每次放行25s 。每次由绿灯变为红灯的过程中,亮光的黄灯作为过渡,黄灯的时间为5s 。能进行特殊状态显示,特殊状态时东西、南北路口均显示红灯状态。用LED 灯显示倒计时,并且能实现总体清零功能,计数器由初始状态开始计数,对应状态的显示灯亮。能实现特殊状态的功能显示, 1.2设计思路和原理 本次设计是针对十字路口,进行南北和东西直行情况下交通灯控制。设定东西方向为主干道方向,根据交通灯的亮的规则,在初始状态下四个方向的都为红灯亮启,进入正常工作状态后,当主干道上绿灯亮时,支干道上红灯亮,持续35S 后,主干道和支干道上的黄灯都亮启,持续5S 后,主干道上红灯亮启,支干道上绿灯亮启持续25S ,之后主干道和支干道上的黄灯都亮启5s ,一个循环完成。循环往复的直行这个过程。其过程如下图所示: 0s 30s 25s 主干道方向 支干道方向 图1.交通灯点亮时间控制说明 1.3实现方法 本次采用文本编辑法,即利用Verilog HDL 语言描述交通控制器,通过状态机计数法,实现设计所要求的交通灯控制及时间显示。设计中用两组红黄绿LED 模拟两个方向上的交通灯,用4个7段数码管分别显示两个方向上的交通灯剩余时间,控制时钟由试验箱上频率信号提供。

相关主题
文本预览
相关文档 最新文档