当前位置:文档之家› 《EDA技术与实践》期末复习资料(含答案)

《EDA技术与实践》期末复习资料(含答案)

《EDA技术与实践》期末复习资料(含答案)
《EDA技术与实践》期末复习资料(含答案)

泉州经贸职业技术学院

《EDA技术与实践》期末复习资料(含答案)

一、选择题

1、在执行MAX+PLUSⅡ的 D 命令,可以精确分析设计电路输入与输出波形间的延时量。

A .Create default symbol B. Simulator

C. compiler

D.Timing Analyzer

2、VHDL常用的库是 A

A. IEEE

B.STD

C. WORK

D. PACKAGE

3、下面既是并行语句又是串行语句的是 C

A.变量赋值

B.信号赋值

C.PROCESS语句

D.WHEN…ELSE语句

4、在VHDL中,用语句 D 表示clock的下降沿。

A. clock’EVENT

B. clock’EVENT AND clock=’1’

C. clock=’0’

D. clock’EVENT AND clock=’0’

1. 一个项目的输入输出端口是定义在 A 。

A. 实体中

B. 结构体中

C. 任何位置

D. 进程体

2. 描述项目具有逻辑功能的是 B 。

A. 实体

B. 结构体

C. 配置

D. 进程

3. 关键字ARCHITECTURE定义的是 A 。

A. 结构体

B. 进程

C. 实体

D. 配置

4. MAXPLUSII中编译VHDL源程序时要求 C 。

A.文件名和实体可不同名

B.文件名和实体名无关

C. 文件名和实体名要相同

D. 不确定

5. 1987标准的VHDL语言对大小写是 D 。

A. 敏感的

B. 只能用小写

C. 只能用大写

D. 不敏感

6. 关于1987标准的VHDL语言中,标识符描述正确的是 A 。

A. 必须以英文字母开头

B.可以使用汉字开头

C.可以使用数字开头

D.任何字符都可以

7. 关于1987标准的VHDL语言中,标识符描述正确的是 B 。

A. 下划线可以连用

B. 下划线不能连用

C. 不能使用下划线

D. 可以使用任何字符

8. 符合1987VHDL标准的标识符是 A 。

A. A_2

B. A+2

C. 2A

D. 22

9. 符合1987VHDL标准的标识符是 A 。

A. a_2_3

B. a_____2

C. 2_2_a

D. 2a

10. 不符合1987VHDL标准的标识符是 C 。

A. a_1_in

B. a_in_2

C. 2_a

D. asd_1

11. 不符合1987VHDL标准的标识符是 D 。

A. a2b2

B. a1b1

C. ad12

D. %50

1.一个项目的输入输出端口是定义在()1-5 ACDCD 6-10 CCACA

A. 实体中;.

B. 结构体中;

C. 任何位置;

D. 进程中。

2. MAXPLUS2中编译VHDL源程序时要求( )

A. 文件名和实体可以不同名;

B. 文件名和实体名无关;

C. 文件名和实体名要相同;

D. 不确定。

3. VHDL语言中变量定义的位置是( )

A. 实体中中任何位置;

B. 实体中特定位置;

C. 结构体中任何位置;

D. 结构体中特定位置。

4.可以不必声明而直接引用的数据类型是( )

A. STD_LOGIC ;

B. STD_LOGIC_VECTOR;

C. BIT;

D. ARRAY。

5. MAXPLUS2不支持的输入方式是( )

A 文本输入;.B. 原理图输入;C. 波形输入;D. 矢量输入。

6.大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是( )

A. FPGA全称为复杂可编程逻辑器件;

B. FPGA是基于乘积项结构的可编程逻辑器件;

C. 基于SRAM的FPGA器件,在每次上电后必须进行一次配置;

D. 在Altera公司生产的器件中,MAX7000系列属FPGA结构。

7.下面不属于顺序语句的是( )

A. IF语句;

B. LOOP语句;

C. PROCESS语句;

D. CASE语句。

8. VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,实体体描述的是( )

A. 器件外部特性;

B. 器件的内部功能;

C. 器件的综合约束;

D. 器件外部特性与内部功能。

9. 进程中的信号赋值语句,其信号更新是( )

A. 按顺序完成;

B. 比变量更快完成;

C. 在进程的最后完成;

D. 都不对。

10. 嵌套使用IF语句,其综合结果可实现:( )

A. 带优先级且条件相与的逻辑电路;

B. 条件相或的逻辑电路;

C. 三态控制电路;

D. 双向控制电路。

12. VHDL语言中变量定义的位置是 D 。

A. 实体中中任何位置

B. 实体中特定位置

C.结构体中任何位置

D. 结构体中特定位置

13. VHDL语言中信号定义的位置是 D 。

A. 实体中任何位置

B. 实体中特定位置

C. 结构体中任何位置

D. 结构体中特定位置

14. 变量是局部量可以写在 B 。

A. 实体中

B. 进程中

C. 线粒体

D. 种子体中

15. 变量和信号的描述正确的是 A 。

A. 变量赋值号是:=

B. 信号赋值号是:=

C. 变量赋值号是<=

D. 二者没有区别

16. 变量和信号的描述正确的是 B 。

A. 变量可以带出进程

B. 信号可以带出进程

C. 信号不能带出进程

D. 二者没有区别

17. 关于VHDL数据类型,正确的是 D 。

A. 数据类型不同不能进行运算

B. 数据类型相同才能进行运算

C. 数据类型相同或相符就可以运算

D. 运算与数据类型无关

18. 下面数据中属于实数的是 A 。

A. 4.2

B. 3

C. ‘1’

D. “11011”

19. 下面数据中属于位矢量的是 D 。

A. 4.2

B. 3

C. ‘1’

D. “11011”

20. 关于VHDL数据类型,正确的是。

A. 用户不能定义子类型

B. 用户可以定义子类型

C. 用户可以定义任何类型的数据

D. 前面三个答案都是错误的

21. 可以不必声明而直接引用的数据类型是 C 。

A. STD_LOGIC

B. STD_LOGIC_VECTOR

C. BIT

D. 前面三个答案都是错误的

22. STD_LOGIG_1164中定义的高阻是字符 D 。

A. X

B. x

C. z

D. Z

23. STD_LOGIG_1164中字符H定义的是 A 。

A. 弱信号1

B. 弱信号0

C. 没有这个定义

D. 初始值

24. 使用STD_LOGIG_1164使用的数据类型时 B 。

A.可以直接调用

B.必须在库和包集合中声明

C.必须在实体中声明

D. 必须在结构体中声明

25. 关于转化函数正确的说法是 B 。

A. 任何数据类型都可以通过转化函数相互转化

B. 只有特定类型的数据类型可以转化

C. 任何数据类型都不能转化

D. 前面说法都是错误的

26. VHDL运算符优先级的说法正确的是 C 。

A. 逻辑运算的优先级最高

B. 关系运算的优先级最高

C. 逻辑运算的优先级最低

D. 关系运算的优先级最低

27. VHDL运算符优先级的说法正确的是 A 。

A. NOT的优先级最高

B. AND和NOT属于同一个优先级

C. NOT的优先级最低

D. 前面的说法都是错误的

28. VHDL运算符优先级的说法正确的是 D 。

A. 括号不能改变优先级

B. 不能使用括号

C. 括号的优先级最低

D. 括号可以改变优先级

29. 如果a=1,b=0,则逻辑表达式(a AND b) OR( NOT b AND a)的值是 B 。

A. 0

B. 1

C. 2

D. 不确定

30. 关于关系运算符的说法正确的是 C 。

A. 不能进行关系运算

B. 关系运算和数据类型无关

C. 关系运算数据类型要相同

D. 前面的说法都错误

31. 转换函数TO_BITVECTOR(A)的功能是 A 。

A. 将STDLOGIC_VECTOR转换为BIT_VECTOR

B. 将REAL转换为BIT_VECTOR

C. 将TIME转换为BIT_VECTOR

D. 前面的说法都错误

32. VHDL中顺序语句放置位置说法正确的是 D 。

A.可以放在进程语句中

B. 可以放在子程序中

C. 不能放在任意位置

D. 前面的说法都正确

33. 不属于顺序语句的是 B 。

A. IF语句

B. LOOP语句

C. PROCESS语句

D. CASE语句

34. 正确给变量X赋值的语句是 B 。

A. X<=A+B;

B. X:=A+b;

C. X=A+B;

D. 前面的都不正确

35. EDA的中文含义是 A 。

A. 电子设计自动化

B. 计算机辅助计算

C. 计算机辅助教学

D. 计算机辅助制

36. 可编程逻辑器件的英文简称是 D 。 A. FPGA B. PLA C. PAL D. PLD

37. 现场可编程门阵列的英文简称是 A 。 A. FPGA B. PLA C. PAL D. PLD

38. 基于下面技术的PLD器件中允许编程次数最多的是 B 。

A. FLASH

B. EEROM

C. SRAM

D. PROM

39. 在EDA中,ISP的中文含义是 B 。

A. 网络供应商

B. 在线系统编程

C. 没有特定意义

D. 使用编程器烧写PLD芯片

40. 在EDA中,IP的中文含义是 D 。

A. 网络供应商

B. 在线系统编程

C. 没有特定意义

D. 知识产权核

41. EPF10K20TC144-4具有多少个管脚 A 。

A. 144个

B. 84个

C. 15个

D. 不确定

43. 如果a=1,b=1,则逻辑表达式(a XOR b) OR( NOT b AND a)的值是 A 。

A. 0

B. 1

C. 2

D. 不确定

45. VHDL文本编辑中编译时出现如下的报错信息

Error: VHDL syntax error: signal declaration must have ‘;’,but found

begin instead. 其错误原因是 A 。

A. 信号声明缺少分号。

B. 错将设计文件存入了根目录,并将其设定成工程。

C. 设计文件的文件名与实体名不一致。

D. 程序中缺少关键词。

46. VHDL文本编辑中编译时出现如下的报错信息

Error: VHDL syntax error: choice value length must match selector

expression value length 其错误原因是 A 。

A. 表达式宽度不匹配。

B. 错将设计文件存入了根目录,并将其设定成工程。

C. 设计文件的文件名与实体名不一致。

D. 程序中缺少关键词。

47. MAX+PLUSII的设计文件不能直接保存在 B 。

A.硬盘 B. 根目录 C. 文件夹 D. 工程目录

48. MAXPLUSII是哪个公司的软件 A 。

A. ALTERA

B. ATMEL

C. LATTICE

D. XILINX

49. MAXPLUSII不支持的输入方式是 D 。

A. 文本输入

B. 原理图输入

C. 波形输入

D. 矢量输入

50. MAXPLUSII中原理图的后缀是 B 。

A. DOC

B. GDF

C. BMP

D. JIF

51. 在一个VHDL设计中Idata是一个信号,数据类型为std_logic_vector,试指出下面那个赋值语句是错误的。 D 。

A.idata <= “00001111”;

B.idata <= b”0000_1111”;

C.idata <= X”AB”

D. idata <= B”21”;

52. 在VHDL语言中,下列对时钟边沿检测描述中,错误的是 D 。

A.if clk’event and clk = ‘1’ then

B.if falling_edge(clk) then

C.if clk’event and clk = ‘0’ then

D.if clk’stable and not clk = ‘1’ then

54. 在一个VHDL设计中idata是一个信号,数据类型为integer,数据范围0 to 127,下面哪个赋值语句是正确的。 C 。

A.idata := 32;

B.idata <= 16#A0#;

C.idata <= 16#7#E1;

D.idata := B#1010#;

55. 下列那个流程是正确的基于EDA软件的FPGA / CPLD设计流程: A 。

A.原理图/HDL文本输入→功能仿真→综合→适配→编程下载→硬件测试

B.原理图/HDL文本输入→适配→综合→功能仿真→编程下载→硬件测试;

C.原理图/HDL文本输入→功能仿真→综合→编程下载→→适配硬件测试;

D.原理图/HDL文本输入→功能仿真→适配→编程下载→综合→硬件测试

56. 在VHDL语言中,下列对进程(PROCESS)语句的语句结构及语法规则的描述中,正确的是 A 。

A.PROCESS为一无限循环语句;敏感信号发生更新时启动进程,执行完成后,等待下一次进程启动。

B.敏感信号参数表中,应列出进程中使用的所有输入信号;

C.进程由说明部分、结构体部分、和敏感信号参数表三部分组成;

D.当前进程中声明的信号也可用于其他进程。

57. 对于信号和变量的说法,哪一个是不正确的: A 。

A.信号用于作为进程中局部数据存储单元

B.变量的赋值是立即完成的

C.信号在整个结构体内的任何地方都能适用

D.变量和信号的赋值符号不一样

58. VHDL语言共支持四种常用库,其中哪种库是用户的VHDL设计现行工作库: D 。

A.IEEE库

B.VITAL库

C.STD库

D.WORK工作库

59. 下列语句中,不属于并行语句的是: B 。

A.进程语句

B.CASE语句

C.元件例化语句

D.WHEN…ELSE…语句

60. 下面哪一条命令是MAX+PLUSII在时序仿真时执行加载节点的命令? C 。

A. file—>set project to current file

B. assign—>pin/location chip

C. node—>enter node from SNF

D. file—>create default symbol

61. 在EDA工具中,能将硬件描述语言转换为硬件电路的重要工具软件称为 D 。

A.仿真器

B.综合器

C.适配器

D.下载器

62. VHDL文本编辑中编译时出现如下的报错信息

Error: Can’t open VHDL“WORK”其错误原因是 B 。

A. 错将设计文件的后缀写成.tdf,而非.vhd 。

B. 错将设计文件存入了根目录,并将其设定成工程。

C. 设计文件的文件名与实体名不一致。

D. 程序中缺少关键词。

63. 在VHDL的CASE语句中,条件句中的“=>”不是操作符号,它只相当与 B 作用。

A. IF

B. THEN

C. AND

D. OR

64. 下面哪一条命令是MAXPLUSII软件中引脚锁定的命令 C 。

A. file—>set project to current file B.node—>enter node from SNF

C. assign—>pin/location chip D. file—>create default symbol]

65. 下列关于信号的说法不正确的是 C 。A . 信号相当于器件内部的一个数据暂

存节点。

B. 信号的端口模式不必定义,它的数据既可以流进,也可以流出。

C. 在同一进程中,对一个信号多次赋值,其结果只有第一次赋值起作用。

D. 信号在整个结构体内的任何地方都能适用。

66. 下面哪一个可以用作VHDL中的合法的实体名 D 。

A. OR

B. VARIABLE

C. SIGNAL

D. OUT1

67. VHDL文本编辑中编译时出现如下的报错信息

Error:Line1,File e:\muxfile\mux21.tdf: TDF syntax error…其错误原因是 A 。

A. 错将设计文件的后缀写成.tdf 而非.vhd 。

B. 错将设计文件存入了根目录,并将其设定成工程。

C. 设计文件的文件名与实体名不一致。

D. 程序中缺少关键词。

68. 下列关于变量的说法正确的是 A 。

A. 变量是一个局部量,它只能在进程和子程序中使用。

B. 变量的赋值不是立即发生的,它需要有一个δ延时。

C. 在进程的敏感信号表中,既可以使用信号,也可以使用变量。

D. 变量赋值的一般表达式为:目标变量名<= 表达式。

69. 下列关于CASE语句的说法不正确的是 B 。

A. 条件句中的选择值或标识符所代表的值必须在表达式的取值范围内。

B. CASE语句中必须要有WHEN OTHERS=>NULL;语句。

C. CASE语句中的选择值只能出现一次,且不允许有相同的选择值的条件语句出现。

D. CASE语句执行必须选中,且只能选中所列条件语句中的一条。

70. VHDL中,为目标变量赋值符号是 D 。

A. =:

B. =

C. <=

D.:=

71. 在VHDL中,可以用语句 D 表示检测clock下降沿。

A. clock’ event

B. clock’ event and clock=’1’

C. clock=’0’

D. clock’ event and clock=’0’

72.在VHDL的FOR_LOOP语句中的循环变量是一个临时变量,属于LOOP语句的局部量, B 事先声明。 A. 必须 B. 不必 C. 其类型要 D.其属性

73. 在VHDL中,语句”FOR I IN 0 TO 7 LOOP ”定义循环次数为 A 次。

A. 8

B. 7

C. 0

D.1

74. 在VHDL中,PROCESS结构内部是由 B 语句组成的。

A. 顺序

B. 顺序和并行

C. 并行

D.任何

75. 执行MAX+PLUSII的 C 命令,可以对设计的电路进行仿真。

A.Creat Default Symbol

https://www.doczj.com/doc/161501984.html,piler

C.Simulator

D.Programmer

76. 在VHDL中,PROCESS本身是 C 语句。

A. 顺序

B.顺序和并行

C.并行

D.任何

77. 下面哪一个是VHDL中的波形编辑文件的后缀名 B 。

A. gdf

B. scf

C. sys

D. tdf

78. 在元件例化语句中,用 D 符号实现名称映射,将例化元件端口声明语句中的信号

与PORT MAP()中的信号名关联起来。

A. =

B. :=

C. <=

D.=>

79.在VHDL中,含WAIT语句的进程PROCESS的括弧中 B再加敏感信号,否则则是

非法的。

A. 可以

B.不能

C. 必须

D. 有时可以

80.在MAX+PLUSII集成环境下为图形文件产生一个元件符号的主要作用是 D 。

A. 综合

B. 编译

C. 仿真

D.被高层次电路设

计调用

82. VHDL文本编辑中编译时出现如下的报错信息

Error: VHDL Design File “mux21”must contain an entity of the same name 其错误原因是 C 。

A. 错将设计文件的后缀写成.tdf 而非.vhd 。

B. 错将设计文件存入了根目录,并将其设定成工程。

C. 设计文件的文件名与实体名不一致。

D. 程序中缺少关键词。

84. 综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;在下面对综合的描述中,是错误的。

A. 综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的

网表文件; B. 为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束;

C. 综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并

且这种映射关系不是唯一的。 D. 综合是纯软件的转换过程,与器件硬件结构无关;

85. 关于VHDL中的数字,请找出以下数字中数值最小的一个:D

A. 2#1111_1110#

B. 8#276#

C. 10#170#

D. 16#E#E1

86. 以下对于进程PROCESS的说法,正确的是: C 。

A. 进程之间可以通过变量进行通信

B. 进程内部由一组并行语句来描述进程功能

C. 进程语句本身是并行语句

D.一个进程可以同时描述多个时钟信号的同步时序逻辑

87. 进程中的信号赋值语句,其信号更新是 C 。

A.按顺序完成;

B.比变量更快完成;

C.在进程的最后完成;

D.以上都不对。

88.关于VHDL中的数字,请找出以下数字中最大的一个: A 。

A.2#1111_1110# B.8#276# C. 0#170# D.6#E#E1

89.VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述 B 。

A.器件外部特性; B.器件的内部功能;C.器件的综合约束;

D.器件外部特性与内部功能。

90.下列标识符中, B 是不合法的标识符。

A. State0

B. 9moon

C. Not_Ack_0

D. signal

91.在VHDL中,IF语句中至少应有1个条件句,条件句必须由 C 表达式构成。

A. BIT

B. STD_LOGIC

C. BOOLEAN

D. INTEGER

92. 在VHDL中 D 不能将信息带出对它定义的当前设计单元。

A. 信号

B. 常量

C. 数据

D. 变量

93.在VHDL中,为定义的信号赋初值,应该使用__D___ 符号。

A. =:

B. =

C. :=

D. <=

94.在VHDL中,一个设计实体可以拥有一个或多个 D

A. 设计实体

B. 结构体

C. 输入

D. 输出

95. 执行下列语句后Q的值等于 A 。

……

SIGNAL E: STD_LOGIC_VECTOR (2 TO 5);

SIGNAL Q: STD_LOGIC_VECTOR (9 DOWNTO 2);

E<=(2=>’1’, 4=>’1’, OTHERS=>’0’);

Q<=(2=>E (2), 4=>E (3), 5=>’1’, 7=>E (5), OTHERS=>E (4)); ……A.“11011011” B. “00110100” C. “11011001” D. “00101100”

96. 在VHDL的IEEE标准库中,预定义的标准逻辑位STD_LOGIC的数据类型中是用表示的。 A.小写字母和数字 B. 大写字母数字 C.大或小写字母和数字 D. 全部是数字

97. 执行MAX+PLUSII的 A 命令,可以为设计电路建立一个元件符号。

A. create default symbol B. simulator C. compiler D. timing analyzer

98. 在VHDL中,条件信号赋值语句WHEN_ELSE属于 D 语句。

A.并行和顺序 B. 顺序 C. 并行 D. 不存在的

99. 在VHDL的IEEE标准库中,预定义的标准逻辑数据STD_LOGIC有 C 种逻辑值。A. 2 B. 3 C. 9 D. 8

100.一个能为VHDL综合器接受,并能作为一个独立设计单元的完整VHDL程序成为 C 。A.设计输入 B. 设计输出 C. 设计实体 D. 设计结构

二、名词解释

1. FPGA Field-Programmable Gate Array 现场可编程门阵列

2VHDL Very-High-Speed Integrated Circuit Hardware Description Language)甚高速集成电路硬件描述语言

3 HDL Hardware Description Language硬件描述语言

5 CPLD Complex Programmable Logic Device复杂可编程逻辑器件

6PLD Programmable Logic Device 可编程逻辑器件7GAL generic array logic 通用阵列逻辑

14.IP Intellectual Property 知识产15ASIC Application Specific Integrated Circuits 专用集成电路

16 ISP In System Programmable 在系统可编程17 ICR In Circuit Re-config 在电路可重构

18 RTL Register Transfer Level 寄存器传输19EDA Electronic Design Automation 电子设计自动化

1.ASIC 专用集成电路

2.FPGA 现场可编程门阵列

3.CPLD 复杂可编程逻辑器件

4.EDA 电子设计自动化

5.IP 知识产权核

6.SOC 单芯片系统

三、程序改错题

(1)library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity count10 is

port(reset:in std_logic;

en_clk:in std_logic;

clk: in std_logic;

co:out std_logic;

qcnt:buffer std_logic_vector(3 downto 0); 1、0))

end cout10; 2、count10

3、begin porcess(reset,en_clk,clk)

4、process begin

if reset='0' or en_clk='0' then

qcnt<=(others=>'o'); 5、0

elseif clk'event and clk='1' then 6、elsif

if qcnt='1001' then 7、”1001”

qcnt<=0 ; 8、”0000”

co<='1';

else

9、;

10、end if ;

end behave;

(2) library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity c16bit is

port(clk,sclr,dire:in std_logic;

q:buffer std_logic_vcetor(15 downto 0); 1、vector

co:buffer std.logic); 2、std_logic end c6bit; 3、c16bit

4、begin

porcess(clk) 5、process

begin

if clk'event and clk='1' then

if sclr='0' then

6、;

q<="000000000000000"; 7、"0000000000000000"

co<='1';

elsif q="0000000000000000" and dire='0' then

q<="1111111111111111";

co<='0';

elseif dire='1' then 8、elsif

q<=q+1;

co<='0';

else

q=q-1; 9、<=

co<='1';

end if; 10、end if ;

end process;

end a;

四、VHDL程序填空

1. 下面程序是1位十进制计数器的VHDL描述,试补充完整。

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY CNT10 IS

PORT ( CLK : IN STD_LOGIC ;

Q : OUT STD_LOGIC_VECTOR(3 DOWNTO 0)) ;

END CNT10;

ARCHITECTURE bhv OF CNT10 IS

SIGNAL Q1 : STD_LOGIC_VECTOR(3 DOWNTO 0);

BEGIN

PROCESS (CLK)

BEGIN

IF CLK'EVENT AND CLK = '1' THEN -- 边沿检测

IF Q1 > 10 THEN

Q1 <= (OTHERS => '0'); -- 置零

ELSE

Q1 <= Q1 + 1 ; -- 加1

END IF;

END IF;

END PROCESS ;

Q <= Q1;

END bhv;

2. 下面是一个多路选择器的VHDL描述,试补充完整。

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY bmux IS

PORT ( sel : IN STD_LOGIC;

A, B : IN STD_LOGIC_VECTOR(7 DOWNTO 0);

Y : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)) ;

END bmux;

ARCHITECTURE bhv OF bmux IS

BEGIN

y <= A when sel = '1' ELSE

B;

END bhv;

五、编程题:请按题中要求写出相应VHDL程序。

1.带计数使能的异步复位计数器

输入端口:clk 时钟信号

rst 异步复位信号

en 计数使能

load 同步装载

data (装载)数据输入,位宽为10 输出端口:q 计数输出,位宽为10

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY CNT1024 IS

PORT ( CLK, RST, EN, LOAD : IN STD_LOGIC;

DATA : IN STD_LOGIC_VECTOR (9 DOWNTO 0);

Q : OUT STD_LOGIC_VECTOR (9 DOWNTO 0) ); END CNT1024;

ARCHITECTURE ONE OF CNT1024 IS

BEGIN

PROCESS (CLK, RST, EN, LOAD, DATA)

VARIABLE Q1 : STD_LOGIC_VECTOR (9 DOWNTO 0);

BEGIN

IF RST = '1' THEN

Q1 := (OTHERS => '0');

ELSIF CLK = '1' AND CLK'EVENT THEN

IF LOAD = '1' THEN

Q1 := DATA;

ELSE

IF EN = '1' THEN

Q1 := Q1 + 1;

END IF;

END IF;

END IF;

Q <= Q1;

END PROCESS;

END ONE;

2.看下面原理图,写出相应VHDL描述

e

a b

y

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL; ENTITY TRI_STATE IS

PORT ( E, A : IN STD_LOGIC;

Y : INOUT STD_LOGIC;

B : OUT STD_LOGIC); END TRI_STATE;

ARCHITECTURE BEHAV OF TRI_STATE IS BEGIN

PROCESS (E, A, Y)

BEGIN

IF E = '0' THEN

B <= Y;

Y <= 'Z';

ELSE

B <= 'Z';

Y <= A;

END IF;

END PROCESS;

END BEHAV;

3、真值表如下(实现JK触发器功能):

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all; entity jk1 is

port(j,k,clk:in std_logic;

q:out std_logic);

end jk1;

architecture behave of jk1 is signal q_temp:std_logic; begin

pl:process(j,k)

variable comb:std_logic_vector(1 downto 0); begin

wait until clk'event and clk='0'; comb:=j&k;

case comb is when"00"=>q_temp<=q_temp; when"01"=>q_temp<='0'; when"10"=>q_temp<='1';

when"11"=>q_temp<=(not q_temp); when others=>q_temp<='X'; end case; end process pl; q<=q_temp; end behave;

3、表达式如下:N N N Q K Q J Q ?+?=+1 实现JK 触发器功能,, 方框图如下:

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all; entity jk is

port(j,k,clk:in std_logic; q:out std_logic); end jk;

architecture behave of jk is

signal q_temp:std_logic;

begin

pl:process(j,k,q_temp)

begin;

if clk’event and clk=’0’ then

q_temp<=(j and (not q_temp)) or((not k) and q_temp); else

q_temp<=q_temp; ,

end if;

end p1;

q<=q_temp;

end behave

4、

真值表如下(实现16位计数功能):

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity wj16bit is

port(clk,sclr,dire:in std_logic;

q:buffer std_logic_vector(15 downto 0);

co:buffer std_logic);

end wj16bit;

architecture a of wj16bit is

begin

process(clk)

begin

if clk'event and clk='1' then

if sclr='0' then

q<="0000000000000000";

elsif q="1111111111111111" and dire='1' then

q<="0000000000000000";

co<='1';

elsif q="0000000000000000" and dire='0' then q<="1111111111111111";

co<='0';

elsif dire='1' then

q<=q+1;

co<='0';

else

q<=q-1;

co<='1';

end if;

end if;

end process;

end a;

5、

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_signed.all;

entity ror4 is

port(kz:in std_logic_vector(1 downto 0);

d:in std_logic_vector(3 downto 0);

f:out std_logic_vector(3 downto 0));

end ror4;

architecture behave of ror4 is signal y:std_logic_vector(3 downto 0); begin

process(kz,d)

begin

if kz="00" then

y(3 downto 0)<=d(3 downto 0);

elsif kz="01" then

y(3 downto 1)<=d(2 downto 0);

y(0)<=d(3);

elsif kz="10" then

y(3 downto 2)<=d(2 downto 0);

y(1)<=d(3);

y(0)<=d(2);

elsif kz="11" then

y(3)<=d(0);

y(2)<=d(3);

y(1)<=d(2);

y(0)<=d(1);

end if;

end process;

f<=y;

end behave;

机电一体化控制技术与系统期末复习

机电一体化复习提纲 1. 机械系统和微电子系统有机结合,从而产生新功能和新性能的新产品是机电一体 化产品。机电一体化系统的基本结构要素有机械本体、执行与驱动、检测传感器、信息处理、动力。机电一体化系统中的机械系统通常由由传动机构、支承与导向机构、执行机构与机架等组成。机电一体化系统的基本组成是能量流、物料流、信息流。机电一体化系统的信息特征(微型化、嵌入式、实时性、分布化)、动力特征(结构分散化、功能智能化)、结构特征(模块化、简单化、高刚度、高精度)。机电一体化的技术基础有机械设计与制造技术、微电子技术、传感器技术、软件技术、通信技术、驱动技术、自动控制技术、系统技术。 2. 机电一体化对机械系统的基本要求是(快、准、稳):快速响应、高精度、良 好的稳定性。为确保机械系统的传动精度和工作稳定性,在设计中常提出无间隙、低摩擦、低惯量、高刚度、高谐振频率、适当的阻尼比等要求。为达到上述要求,主要从a、采用低摩擦阻力的传动部件和导向支承部件;b、缩短传动链,简化主传动系统的机械结构;c、提高传动与 支撑刚度;d、选用最佳传动比,尽可能提高加速能力;e、缩小反向死 区误差;f、改进支承及架体的结构设计以提高刚性、减少振动、降低噪声这几个方面采取措施。机电一体化系统中的机械系统通常由传动机构、支承与导向机构、执行机构与机架等几个部分组成。 3. 机电一体化系统中的传动系统应满足足够的刚度、惯性小、阻尼适中等性能要 求。为确保机械系统的传动精度和工作稳定性,在设计中需满足无间隙、低摩擦、低惯量、高刚度、高谐振频率、适当的阻尼比,为达到这些要求,主要采取

①采用低摩擦阻力的传动部件和导向支撑部件② 缩短传动链,简化传动系统的机 械结构③提高传动与支撑刚度④选用最佳传动比,以达到提高系统分辨率、减少到执行元件输出轴上的等效转动惯量,尽可能提高加速能力⑤缩小反向死区误差 ⑥改进支撑及架体的结构设计以提高刚性、减少震动、降低噪声。 4. 滚珠丝杠螺母副中滚珠循环装置有外循环,内循环。滚珠丝杠支承方式有单推- 单推式、双推-双推式、双推-简支式、双推-自由式。具有最大刚度的的支承方式是双推-双推式。 5. 滚珠丝杠螺母副中按照滚珠循环方式划分外循环,内循环。滚珠丝杠螺母副中 调整间隙和预紧的方法有垫片调整式(调整垫片来改变轴向力)、双螺母调节式(调整螺母来消除间隙或预紧)齿差调节式(左右螺母外端凸缘制成齿数差1 的直齿圆柱齿轮),原理是什么?滚珠丝杠副的安装方式有单推-单推式(轴向刚度较高、预紧力较大、轴承寿命比双推-双推式低)、双推-双推式(刚度最高、易造成预紧力不对称)、双推-简支式(轴向刚度不太高、预紧力小、轴承寿命较长、适用于中速、精度较高的长丝杠传动系统)、双推-自由式(轴向刚度和承载能力低、多用于轻载、低速的垂直安装丝杠传动系统),特点分别是什么?滚珠丝杠副的选择方法是结构的选择、结构尺寸的选择(公称直径和基本导出的选择)。 6. 直齿圆柱齿轮侧隙的调整方法有中心距调整法、双片薄齿轮错齿调侧隙法、轴向 垫片调整法。要消除齿轮副间隙是因为侧隙会产生齿间冲击,影响传动平稳性、若出现在闭环系统中、则可能导致系统不稳定、使系统产生低频振荡,常用中心距调整法、双片薄齿轮错齿调侧隙法、轴向

期末复习题(一)及参考答案

期末复习题(一)及参考答案 一、名词解释题 1.第一审公诉案件的刑事判决书1 2.第一审适用普通程序的民事判决书1 3.不予执行裁定书1 4.起诉书1 5.提请批准逮捕书1 6.监狱文书1 7.刑事自诉状1 8.现场监督类公证书1 9.仲裁协议书1 10.行政许可受理决定书1 二、单项选择题 1.起诉意见书是依据《中华人民共和国刑事诉讼法》第()条而制作的。1 A.60条B.66条 C.129条D.61条 2.下面哪个文书不用送达人民检察院?()1 A.提请批准逮捕书 B.呈请拘留报告书 C.起诉意见书 D.询问笔录 3.下面关于提请批准逮捕书的叙述不正确的是()。1 A.提请批准逮捕书一案一份 B.提请批准逮捕书应制作一式三份 C.需经县级以上公安机关负责人批准 D.提请批准逮捕书应存入侦查工作卷 4.下面是×市公安局一份提请批准逮捕书的文书编号,正确的是()1 A.〔05〕×公刑捕字第15号 B.×公逮字〔05〕第15号 C.〔2005〕×公刑捕字第15号 D.×公刑提捕字(2005)15号 5.2002年司法部监狱管理局根据刑法、刑事诉讼法和监狱法的有关规定,结合监狱管理工作的具体要求,重新制定了()。1 A.《监狱司法文书格式(试行)》 B.《监狱改造文书格式》 C.《劳动改造机关执法文书格式》 D.《监狱执法文书格式(试行)》 6.准确表述律师实务文书性质的一项是()。1 A.规范性法律文件 B.非规范性法律文书 C.具有法律效力的非规范性法律文书 D.具有法律意义的非规范性法律文书 7.为提起告诉才处理的案件,被害人有证据证明的轻微刑事案件,以及被害人有证据证明对被告人侵犯自己人身、财产权利的行为应当追究刑事责任,而公安机关或者人民检察院不

建筑工程资料管理试题含答案

建筑工程资料管理试题 一、单项选择题: 1、建筑工程资料的组卷厚度,一般不超过( D )mm。 A、10 B、20 C、30 D、40 2、对列入城建档案馆接受范围内的工程,工程竣工验收后的( D )内向城建档案馆移交一套符合规定的工程档案。 A、15天 B、1个月 C、2个月 D、3个月 3、施工单位对工程实行总承包的,总包单位负责收集、汇总各分包单位形成的工程档案并及时向(B)移交。 A、监理单位 B、建设单位 C、城建档案馆 D、质量监督站 4、一个建设工程由多个单位工程组成时,工程文件应按(B)组卷。 A、单项工程 B、单位工程 C、分部工程 D、分项工程 5、(B)是施工单位用以指导、规范和科学化施工的资料。 A、施工管理质量 B、施工技术资料 C、施工物资资料 D、施工监测资料 6、水泥的强度应以标养(C)d试件结果为准。 A、7 B、3 C、28 D、56 7、建筑节能产品进场时应有出厂质量证明文件,并应按规定见证取样和( D ),有试验报告。 A、检测 B、监测 C、试验 D、送检 8、检验批施工质量验收记录表由施工项目(B)填写,监理工程师(建设单位项目技术负责人)组织质量检查员等进行验收。 A、资料员 B、质量检查员 C、施工员 D、技术负责人 9、分部工程施工质量验收记录,勘察单位只可确认(A)分部工程。

A、地基基础 B、主体结构 C、屋面工程 D、装饰装修工程 10、单位工程施工质量控制质量核查记录,核查人栏由(B)签认。 A、建设单位项目负责人 B、总监理工程师亲自 C、总监理工程师委托专业监理工程师 D、专业监理工程师 11建筑工程材料,简称为(B) A.施工材料 B.工程资料 C.交工资料 D.竣工资料 12、在组织工程竣工验收前,应提请(C)对工程档案进行验收。 A.建设单位 B.监理单位 C.城建档案馆管理机构 D.质量监督机构 13、对于游泳池、消防水池等蓄水工程、屋面工程和有防水要求的地面工程,应进行(B)。 A.防水试验 B.淋(蓄)水检验 C.质量检验 D.浇水试验 14、施工单位的文件资料采用(C)的英文编号。 A.“A” B.“B” C.“C” D.“D” 15、对于重要和大型的工程应由(D)委托有资质的测量单位进行沉降观测。 A.监理单位 B.建设单位 C.管理部门 D.施工单位 16、(D)资料是建筑施工单位按照安全生产的有关规定要求,在施工安全管理过程中形成和建立的技术资料。 A.建筑施工技术 B.建筑施工安全技术 C.建筑施工交工技术 D.建筑安全管理技术 17、如果预制构件的合格证是抄件(如复印件),则应注明原件的(A)、存放单位、抄件的时间,并有抄件人、抄件单位的签字和盖章。 A.编号 B.日期 C.生产单位 D.厂家的地址

工业电气期末复习资料

工业电气期末复习资料 1、交流与直流电磁铁的区别 直流:由工程纯铁、软刚制成,线圈直接绕在铁心上。在U、R不变时,励磁电流I恒定,不受气隙δ的影响。 吸合过程中,电磁吸力随着长度空气隙的减小逐渐增加;当IN一定,电磁吸力与气隙大小乘反比;当气隙相同时,安匝数越大,电磁吸力越大。 交流:由硅钢片叠成,线圈绕在框架上,再套入铁芯柱,交流励磁电流随气隙δ成正比增大;电磁吸力大小随时间周期性变化。 2、电磁机构的吸力特性,返力特性,吸力与反力如何配合 ①吸力特性:铁心吸引衔铁的电磁吸力与气隙的关系曲线 ②反力特性:电磁机构使衔铁释放的力与气隙的关系曲线 ③电磁机构在衔铁的吸合过程中,吸力必须大于反力,但不宜过大,否则会影响电器的机械寿命。即在图中(P19图2.10)直流吸力特性曲线1或交流吸力特性曲线2应高于反力特性曲线3。而在释放衔铁时,其反力必须大于剩磁吸力才能保证衔铁可靠释放,因此要求电磁机构的反力特性必须介于电磁吸力特性和剩磁吸力特性之间。 3、返回系数或恢复系数Kf:复归值Xr与动作值X0之比。Kf=Xr/X0 (P20) 4、灭弧装置有哪些(P41) 1.简单灭弧 2.磁吹灭弧装置。 3.弧罩与纵缝灭弧装置。 4.栅片灭弧装置。 5.固体产气灭弧装置 6.石英砂灭弧装置。 7.油吹灭弧装置。 8.气吹灭弧装置。 9.真空灭弧装置。10.无弧分段 5、短路保护和过载保护的区别,热继电器为什么不能用作为短路保护,熔断器为什么不能作为过载保护? 短路保护:电流时额定电流的十几倍甚至几十倍,大电流引起的速断保护是瞬时的。 过载保护:由负载过大超出设备额定值,电流一般为额定电流的几倍到十几倍,其有适当的延时。 热继电器中发热元件由于热惯性。不能瞬时动作,不能用于短路保护。 6、交流接触器有何用途,主要有哪几部分组成,各有什么作用? 交流接触器是一种用于频繁地接通断开交流主电路,大容量控制电路等大电流电路的自动切换电器。 结构包括:电磁机构:由线圈、静铁心和衔铁组成,是感测和判断部分; 主触头及灭弧罩:用于接通或断开主电路,能通较大电流,灭弧罩用于分合 主电路; 辅助触头:用于接通或断开控制电路,只能通较小电流; 反力装置:接触器的判断部分; 支架和底座:用于接触器的固定和安装。 7、中间继电器在电路中起到什么作用。 (1)扩展控制回路(2)扩大触点控制容量。(3)强弱电或交直流电转换。(4)作为中间元件。 8、什么是主令器?常用的主令器主要有哪几种? 主令器是一种机械操作的控制电器,对各种电气系统发出控制指令,使继电器和接触器动作,控制电力拖动系统中电动机的起动、停车和制动以及调速。 主要有:控制按钮和指示灯、行程开关、转换开关和万能转换开关。

光学期末复习题(含答案)

光学综合复习 一、填空题 1、月亮、闪闪发光的红宝石、太阳、点燃的蜡烛,其中属于光源的是. 2、晴天,在茂密的树林下面,阳光透过树叶的缝隙,会在地上留下许多圆形的光斑,这是由于的原理。如果是在发生日偏食的短暂时间 里,则地上的光斑是圆形的光斑(填“仍然”或“不再”)。 3、如图为我国电视播放的我国首批女子仪仗队训练时的画面,队员们之所以 能够 站的这么整齐是利用了光的原理,她们在行走过程中以其中一名队员为 参照物,其他队员是(选填“运动”或“静止”)的 4、教室里的黑板有时会“反光”晃眼,这是黑板表面发生了反 射;老师放在讲桌上的教具从各个角度多能看到,这是教具发生反射. 5、早晨的太阳光与水平方向成40°角射到一水平放置的平面镜上,经镜面反射后,反射角 为. 6、超市中用来识别货物和价格的条形码,它就是黑白相间的条纹,当扫描仪照射 它时,黑条纹将光(选填“反射”或“吸收”),白条纹将光,再通过电脑解码就可 以了。 7、一只小鸟在平静的湖面上飞过,当小鸟距离水面4m时,小鸟在湖面上的“倒影”是________(选填“实”或“虚”)像,该“倒影”距离小鸟________m。 8、目前光污染越来越严重,白亮污染是普遍的一类光污染,建筑物的玻璃幕墙、釉面砖墙、磨光大 理石 都能造成白亮污染,形成白亮污染的主要原因是由于光 的________现象. 9、小李从平面镜里看到平面镜对面的电子钟的示数如图3所示,这时的实际时间是________ 10、如图所示,平面镜PN与一支长15cm的铅笔AB竖直放置在水平桌面上,它们之 间相距20 cm,铅笔的像高为cm;若铅笔绕B点逆时针方向转过90°,此时铅笔尖A 与其所成像A′间的距离为cm。 11、一束光线从空气射入某种透明液体时,已知入射光线与液体表面的夹角 是450, 反射光线与折射光线的夹角 是1050,则折射角是_______0,入射角是 __________0。 12、古诗中有许多描述光学现象的诗句,如“潭清疑水浅”说的就是光的现象;“池水映 明月”说的就是光的现象.“大漠孤烟直,长河落日圆”的诗句中,诗人观察到的落日并 非 太阳的实际位置,而是光线经过不均匀大气时发生而成的像,此时太阳的实际位置是图中的(选“甲”或“乙”). 13、电视机画面的颜色是由___________三种色混合而成的,电视遥控器 用__________(选填“红外线” 或“紫外线”)来遥控电视机的。 14、如图所示,太阳光通过三棱镜后,在光屏上会形成一条彩色光带,这种现象叫光的 __________,产生这一现象的原因是白光中包含的不同颜色的光通过三棱镜 发生________ (选填“反射”或“折射”)时的偏折程度不同;图中射到光屏上光束的________侧有明 显的热效应(选填“上”或“下”). 15、一个上身穿黄衣,下身穿红裙的姑娘在舞台红色的追光灯 下,上衣呈色,

电气控制技术习题一

(一)常用低压电器 一、填空题 1、选择接触器时应从其工作条件出发,控制交流负载应选用(交流接触器);控制直流负载 则选用(直流KM)。 2、接触器选用时,其主触点的额定工作电压应(大于)或(等于)负载电路的电压,主 触点的额定工作电流应( > )或( = )负载电路的电流,吸引线圈的额定电压应与控制回路(电压相一致)。 3、中间继电器的作用是将一个输入信号变成(多个)输出信号或将信号(转化成其它信号)。 4、试举出两种不频繁地手动接通和分断电路的开关电器( KT )、( FR )。 5、试举出两种主令电器(按钮SB )、(行程开关SQ )。 6、试举出组成继电器接触器控制电路的两种电器元件( KM )、( FR )。 7、当电路正常工作时,熔断器熔体允许长期通过1.2倍的额定电流而不熔断。当电路发生(短 路)或(过载)时,熔体熔断切断电路。 8、熔断器熔体允许长期通过1.2倍的额定电流,当通过的(电流)越大,熔体熔断的(时间) 越短。 9、凡是继电器感测元件得到动作信号后,其触头要(延迟)一段时间才动作的电器称为(时 间)继电器。 10、当接触器线圈得电时,使接触器(敞开触点)闭合、(常闭触点)断开。 11、热继电器是利用电流的(发热)原理来工作的保护电器。它在电路中主要用作三相异步 电动机的(过载及断相保护)。 12、控制设备按其操作方式分为(点动)控制和(连动)控制。 13、自动空气开关又称(低压断路器),当电路发生(过载或短路)以及(欠电压) 等故障时,能自动切断故障电路。 14、主令电器种类繁多,常用的主令电器有(按钮)和(行程开关)等。 15、熔断器主要由(熔体)和安装熔体的(外壳)组成。 16、交流接触器上的短路环的作用是减少吸合时产生的(强烈震动和噪声)。 17、低压控制电器常用的灭弧装置有(灭弧罩)、(灭弧栅)两种。 二、判断题 1、一台额定电压为 220V 的交流接触器在交流 220V 和直流 220 V的电源上均可使用。(×) 2、交流接触器通电后如果铁心吸合受阻,将导致圈烧毁。(×) 3、交流接触器铁心端面嵌有短路铜环的目的是保证动、静铁心吸合严密,不发生震动与噪声。(√) 4、直流接触器比交流接触器更适用于频繁操作的场合。(√) 5、低压断路器又称为自动空气开关。(√) 6、只要外加电压不变化,交流电磁铁的吸力在吸合前、后是不变的。(×) 7、直流电磁铁励磁电流的大小与行程成正比。(×) 8、闸刀开关可以用于分断堵转的电动机。(×) 9、熔断器的保护特性是反时限的。(√) 10、低压断路器具有失压保护的功能。(√) 11、一定规格的热继电器,其所装的热元件规格可能是不同的。(√) 12、无断相保护装置的热继电器就不能对电动机的断相提供保护。(×) 13、热继电器的额定电流就是其触点的额定电流。(×) 14、热继电器的保护特性是反时限的。(√) 15、行程开关、限位开关、终端开关是同一种开关。(√) 16、万能转换开关本身带有各种保护。(√)

《建筑工程资料管理试卷A》带答案的

《建筑工程资料管理》试卷(A ) 一、一、填空题(每题1分,共25分) 1、工程档案是指在工程建设活动中直接形成具有归档保存价值的文字 、图表 、声像 等各种形式的历史记录,也简称工程档案。 2、竣工图资料划分为:综合竣工图、室外专业竣工图、专业竣工图等3小类。 3、短期是指工程档案保存20年以下。 4、密级分为绝密、机密、秘密三种。同一案卷内有不同密级的文件,应以高密级为本卷密级。 5、《建设工程施工合同示范文本》中把合同分为协议书、通用条款、专用条款三个部分,并附有三个附件。 6、建设工程施工合同示范文本三个附件为:“承包人承揽工程项目一览表”、“ 发包人供应材料设备一览表”和“房屋建筑工程质量保修书”。 7、保管期限分为永久、长期、短期三种期限。 8、混凝土取样与试件留置应符合下列规定:每拌制100盘且不超过100立方米的同配合比的混凝土,取样不得少于1次。 9、工程质量事故处理记录应由施工项目经理、专业技术负责人、质检员、施工工长签字。 10、电气管线、给排水管道、设备安装和装修工程,期限为2年。 二、选择题(每题1.5分,共15分) 1、工程开工前,( A )应与城建档案馆签订《建设工程竣工档案责任书》。 A 建设单位 B 施工单位 C 监理单位 D 设计单位 2、建设工程规划许可证资料,是建设单位到规划部门办理,应由(B )提供。 A 建设单位 B 规划部门 C 发改委 D 国土资源管理部门 3、由( C )对设计的施工图进行审查,合格后发给合格证书。 A 建设单位 B 施工单位 C 施工图审查机构 D 设计单位 4、下列监理单位用表中,可由专业监理工程师签发的是( C )。 A 工程临时延期审批表 B 工程最终延期审批表 C 监理工作联系单 D 工程变更单 5、在施工现场准备的质量控制中,项目监理机构对工程施工测量放线的复核控制工作应由( C ) 负责。 A. 监理单位技术负责人 B 现场监理员 C 测量专业监理工程师 D 总监理工程师 6、工程竣工预验收合格后,由( C )向建设单位提交《工程质量评估报告》 A 总监代表 B 监理工程师 C 项目总监理工程师 D 监理单位法人代表 7、办理竣工验收备案是指建设单位应当自竣工验收合格之日起( B )日内,将工程竣工报告和有关文件,报工程所在地的县级以上地方人民政府建设行政主管部门或有关部门备案。 A 7日 B 15日 C 21日 D 28日 8、对涉及结构安全的试块,试件和材料见证取样和送检的比例不得低于有关技术标准中规定取样数量的( A )。 A 30% B 40% C 50% D 60% 9、下列说法正确的是( D ) A 监理大纲在工程开工后进行编制 B 监理规划在工程招投标阶段编制 C 监理实施细则由总监进行编制 D 监理实施细则为可操作性文件 10、施工文件中,施工环保措施为( B )保管资料 A 长期 B 短期 C 永久 D 不保存 三、判断(每题1.5分,共15分) 1、工程量较小的分项工程可统一划为一个检验批。 (√ ) 2、“勘察质量检查报告”是建设单位对建设工程(地基基础部分)的质量做出的检查报告。(× ) 3、工程变更的实施应先变更后实施。 (√ ) 4、监理日志由专业监理工程师填写,由项目总监理工程师签阅。 (√ ) 5、建设工程文件可分为工程准备阶段文件、监理文件、施工文件和设计文件。 (× ) 6、具备独立施工条件并能形成独立使用功能的建筑物及构筑物为一个分部工程。 (× ) 7、检验批可根据施工及质量控制和专业验收需要按楼层、施工段、变形缝等进行划分。 (√ ) 8、“施工图”是建筑工程完工后,反映建筑工程竣工实貌的工程图纸。 (× ) 班级:————————姓名:————————考号:————————————— ————————装————————订————————线——————————

《现代电气控制技术》期末复习资料

第1章常用低压电器 【填空题】 1.电器一般具有两个基本组成部分:感测部分(电磁机构)和执行部分(触头)。 2.电弧熄灭方法:降低电场强度和电弧温度。 3.接触器:用来频繁接通和切断电动机或其它负载主电路的一种自动切换电器。具有远距离操作功能和失(欠)压保护功 能;但没有低压断路器所具有的过载和短路保护功能。 4.接触器按其主触头通过的电流种类,分为直流接触器和交流接触器。 5.接触器符号: 6.继电器:是一种根据特定形式的输入信号而动作的自动控制电器。由承受机构、中间机构和执行机构三部分构成。 7.继电器返回系数,是继电器重要参数,吸合时间和释放时间。 8.继电器的主要特点是具有跳跃式的输入-输出特性。 9.继电器符号: 10.中间继电器:用来放大信号,增加控制电路中控制信号的数量,以及作为信号传递、连锁、转换及隔离用。 11.中间继电器符号: 12.时间继电器:在敏感元件获得信号后,执行元件要延迟一段时间才动作的电器。 13.时间继电器符号:通电延时(b,d,e),断电延时(c,f,g) 14.速度继电器也称反接制动继电器,用在异步电动机的反接制动控制。 15.速度继电器符号: 16.热继电器:利用电流的热效应原理来工作的保护电器,用作三相异步电动机的过载保护。(双金属片) 17.热继电器符号: 18.行程开关:又称限位开关,根据生产机械运动的行程位置发出命令以控制其运动方向或行程长短的小电流开关电器。

19.行程开关触点符号: 20.低压熔断器:利用熔体的熔化作用而切断电路的、最初级的保护电器,适用于交流低压配电系统或直流系统,作为线路 的过负载及系统的短路保护用。 21.低压断路器:按结构形式分为万能式和塑料外壳式两类。用于电路过载、短路和失压保护。 【简答题】 1.12 时间继电器和中间继电器在电路中各起什么作用? 时间继电器:分为 通电延时:接收输入信号延时一定的时间,输出信号才发生变化,当输入信号消失时,输出瞬时复原; 断电延时:接收输入信号时,瞬时产生相应的输出信号,当信号消失后,延迟一点过时间,输出复原。 中间继电器:实质上是一种电压继电器,特点是触头数目多,电流容量可增大,起到中间元件的作用。 1.13 热继电器与熔断器的作用有何不同? 答:都是利用电流的热效应实现动作的。但热继电器发热元件为两个不同膨胀系数的金属片,受热弯曲,推动相应的机械结构使触点通断;一般用于过载保护;而熔断器是低熔点熔体在高温作用下,通过自身熔化切断电路,可用于过载及短路保护。 1.14 什么是接触器?什么是隔离开关?什么是断路器?各有什么特点?主要区别是什么? 答:接触器:用来频繁接通和切断电动机或其它负载主电路的一种自动切换电器;根据电路电压的大小而通断电路; 隔离开关:在断开位置能起符合规定的隔离功能要求的低压开关;只能通断“可忽略的电流”即无载通断;因为具有明显的开断点,也可用在维修时起到电源隔离; 断路器:可通断正常负荷电流、短路电流;具有过载保护、低压保护功能;但不适宜频繁操作。功能强,动作后不需更换器件。主要区别在:开关操作的频率和通断电流的大小上。 第2章基本电气控制线路及其逻辑表示 【填空题】 1.短路保护:熔断器FU1或FU2熔体熔断实现; 过载保护:热继电器FR实现。过载或电动机单相运行时,FR动作,其常闭触点打开;KM线圈失电,KM主触点打开,切断电动机主电路。 零压保护:当电源电压消失或严重下降时,电动机应停转;电源恢复后,要求电动机不能自行起动。 2.连续工作(长动)与点动控制 实现方法:长动:自锁电路,点动:取消自锁触点或使其失去作用 长动:按下按钮SB2,KM自锁; 点动:将点动按钮SB3的常闭触点串联在KM的自锁电路中; 实现: 按下点动按钮SB3,KM带电;但SB3的常闭触点使自锁电路断开; 松开按钮SB3,KM失电;当接触器KM的释放时间小于按钮恢复时间;KM常开触点先 于SB3常闭触点断开,电动机停转。 触点竞争:当接触器KM的释放时间大于按钮恢复时间,点动结束,SB3常闭触点复位 时,KM常开触点还未断开,自锁电路继续通电,无法实现点动。

面向对象程序设计期末复习题及答案

C++面向对象程序设计复习 试题类型1、单项选择题(在每小题的四个备选答案中,选出一个正确答案,并将正确答案的序号填在题干的括号内。15题,每小题2分,共30分) [主要从作业题目中抽出来] 2. 填空题。(10题,每小题3分,共30分) 3、阅读程序,写出程序运行结果。【也称计算题】(3题,每小题5分,共15分) 4、程序编制题【也称综合分析题】。(第1、2题每题8分,第3题9分,共 25分) 重点复习内容 打*号是重点,打▲号是编程题出题范围 * 基本概念,对象,消息,类。 面向对象系统特性,封装性,继承性,多态性。 *▲C++类的构成,类与对象,构造与析构,动态存储,类嵌套。 静态成员,对象数组,友元。 *函数重载。 运算符重载。 *引用定义与引用参数 * 基类与派生类的定义。 * 基类及派生类的访问权(含派生类对基类的访问、通过派生类对象对基类的访问)和初始化。

多继承与虚基类。 *多态性与虚函数。 纯虚函数、抽象类。 *▲函数模板。 *▲使用类来定义对象并在程序中的应用题型样题 填空题 1. 假定AB为一个类,则执行“AB a[10];”语句时,系统自动调用该类的构造函数的次数为_________。 答案:10 2.可以用p.a的形式访问派生类对象P的基类成员a,其中a是_________。答案:公有继承的公有成员 3.能作为重载函数的调用的依据是_________。 答案:参数个数、参数类型 4.在进行完任何C++流的操作后,都可以用C++流的有关成员函数检测流的状态;其中只能用于检测输入流是否结束状态的操作函数名称是_________ 答案:eof 5.函数重载是指_________。 答案:两个或两个以上的函数取相同的函数名,但形参的个数或类型不同 6.在派生类中重新定义虚函数时必须在_________ 方面与基类保持一致。 答案:参数个数 阅读程序例题【计算题】 1.分析以下程序的执行结果 #include class Sample

汇编期末复习题及答案

一.名词解释(本大题共5小题,每小题3分,共15分)试解释下列名词的含义。 1.逻辑地址 2.物理地址 3.标志寄存器 4.存储器寻址方式 5.补码 二.计算题(本大题共5小题,每小题4分,共20分)试按照各小题的要求给出计算结果。 1.将十进制数100分别转换为二进制、十六进制数,它们的表示形式分别为多少?(1100100)2, (64)16 2.假设(DS)=0B00H,(BX)=0210H,对于指令MOV DS:120H[BX],AL,其目的操作数的物理地址为多少?0B00H*10H+210H+120H = B330H 3.假设(BX)=0210H,(DI)=0060H,对于指令ADD DL,[BX][DI],其源操作数的偏移量为多少?210H+60H=270H 4.假设当前(SP)=0060H,连续执行5条PUSH指令后,(SP)=? 执行push,指针减小,每次占用两个字节,60H-2*5 = 56H 5.对于一个十进制数– 65,其二进制补码表示形式为多少? 65)2 = 1000001,取反0111110,加一0111111,加符号10111111 三. 排错题(本大题共4小题,每小题5分,共20分)每小题列出了一条指令,判断该指令有无语法错误,如果存在语法错误,请指出具体的错误原因,判断正确给2分,分析正确给3分,判断错误不给分。 1.PUSH 5588H ; push的源操作数不能是立即数2.MOV DS,1720H ; 给段寄存器赋值不能给立即数3.ADD AX,CL ;AX 是16位的,CL是8位的,不能相加4.AND AX,[DX] ;DX 不是基址寄存器四.程序分析题(本大题共6小题,每小题5分,共30分)每小题列出了一段小的程序片段和相关存储单元的初始值,请按题目的要求分析各程序片段的运行结果。(寄存器中的内容请使用十六进制形式给出) 1.阅读如下程序片段 MOV AL,4CH MOV BL,0B5H ADD AL,BL 执行上述程序片段后,(AL)= 1H,(BL)=B5H , CF= ,OF= ,PF= . 2.阅读如下程序片段 MOV AL,0F3H MOV DL,0C4H ADD AL,DL AND AL,0FH

(建筑电气工程)电气控制技术复习资料

复习 一、填空 1、用热继电器对电动机进行过载及断相保护,其额定电流值应由热元件的最大额定电流值来确定。 2、自动空气开关在电路发生严重过载和短路或欠电压等故障时,能自动切断故障电路。 3、热继电器它是利用电流的热效应原理而动作的。它的发热元件应串接于电动机电源回路中。 4、电气原理图由主电路和控制电路组成。 5、电动机的正反转控制电路,在任何时候都只允许其中一组电路工作,因此必须进行互锁,以防止电源相间短路。 6、C650普通车床的主轴电动机容量为30KW,采用全压下的空载串电阻起动、能实现正、反旋转的连续运行,还能实现单方向的点动控制。 7、Z3040摇臂钻床运动部件较多,分别采用主轴电动机、冷却泵电动机、摇臂升降电动机和摇臂的夹紧与放松电动机拖动。 8、M7130型卧轴距台平面磨床的电磁吸盘控制电路可分为三个部分,分别是整流装置、控制装置和保护装置。 9、X62W卧式铣床的主轴变速可以在主轴不转时进行,也可在主轴旋转时进行,不需要再按下停止按钮。 10、凸轮控制器触点直接用来控制电动机主电路,所以要求触点_容量大_______,主令控制器触点只控制接触器,再由接触器控制电动机,所以触点容量较凸轮控制器触点容量小。 11、低压电器按操作方式分为手动电器和自动电器。由此可知,交流接触器属于自动电器,组合开关属于手动电器。 12、在接触器控制线路中,依靠自身的辅助触点保持线圈通电的环节叫自锁环节;串入 对方控制 线路的动断触点叫互锁触点。 13、固态继电器(SSR)是一种新颖的无触点开关,采用固体半导体元器件组装而成。 14、三相笼型异步电动机可以通过改变定子绕组磁极对数,电压频率

工程资料试题库(53-答案)

工程资料管理软件试题库 2007年8月 一. 填空题 1、检验批是指(按同一的生产条件或按规定的方式汇总起来供检验用的,由一定数 量样本组成的检验体),它是工程验收的最小单位。 2、软件具有选项设置功能,可将(人员 )、(验收部位)、( 结构类型 )、( 单位 )、 ( 工程名称 )、分项工程、基础类型等参数预先录入,用户在填写时直接通过下拉选框选择即可。 3、软件通过采用(文件加密)和(管理员)授权用户方式操作资料,以此确保生成 的文件、资源的安全性。 4、在安全资料和工程资料软件中,导入工程文件后,如果全部修改原有工程信息为现 在工程的信息,可通过(批量更新工程信息)功能实现。 5、现在工程资料软件的在图片插入时,可以插入(wmf)、( bmp)、( jpg)、( dwg)等 几种格式的文件。 6、质量评定资料中,超过国家标准后用(三角)加数字显示,超过(企业)标准后用 (圆圈)加数字显示。 7、在资料软件中可以通过(过滤)的方式在属性目录中仅将已经填写的表格目录 全部显示出来;要显示某一目录下的全部已填写表格可以通过(右键-全部展开)的方式快速实现,也可以点击(“+”号)分级打开。 8、在资料软件中要实现(批量)复制,必须在过滤的状态下才能实现。 9、在资料软件中可以通过(单元格拆分)、( 合并 )和( 图片插入 )的方式实 现图文并茂的资料录入。 10、现在工程资料软件的表格中需要输入图形时不仅可以插入图片,还可以通过系统内 置的(绘图)功能键和(打开图集软件)功能绘制插入图形和文字。 11、在归档时可对(监理单位)、(施工单位)、(建设单位)、(城建档案馆)单位进行 分类归档设施。 12、竣工资料整理和竣工图绘制工作应由( 施工 )单位负责完成。 13、工程资料与安全资料如果工程*.xcg和*.aqz文件破坏可以利用同名的(*.bak)文 件更改为*.xcg和*.aqz后缀文件名进行打开修复。 14、在资料软件中要实现选择打印,必须在(过滤)的状态下才能实现。

自动控制原理总复习资料(完美)

总复习 第一章的概念 1、典型的反馈控制系统基本组成框图: 2、自动控制系统基本控制方式:(1)、反馈控制方式;(2)、开环控制方式;(3)、复合控制方式。 3、基本要求的提法:可以归结为稳定性(长期稳定性)、准确性(精度)和快速性(相对稳定性)。 第二章要求: 1、掌握运用拉氏变换解微分方程的方法; 2、牢固掌握传递函数的概念、定义和性质; 3、明确传递函数与微分方程之间的关系; 4、能熟练地进行结构图等效变换; 5、明确结构图与信号流图之间的关系; 6、熟练运用梅逊公式求系统的传递函数; 例1 某一个控制系统动态结构图如下,试分别求系统的传递函数: )()(,)()(1211s R s C s R s C ,) () (,)()(2122S R S C s R s C 。

4 3213211243211111)() (,1)()()(G G G G G G G s R s C G G G G s G s R s C --= -= 例2 某一个控制系统动态结构图如下,试分别求系统的传递函数: ) () (,)()(,)()(,)()(s N S E s R s E s N s C s R s C 。 例

例4、一个控制系统动态结构图如下,试求系统的传递函数。 X r 5 214323 211)()(W W W W W W S X S X r c ++= 例5 如图RLC 电路,试列写网络传递函数 U c (s)/U r (s). 解: 零初始条件下取拉氏变换: 例6某一个控制系统的单位阶跃响应为:t t e e t C --+-=221)(,试求系统的传递函数、微分方程和脉冲响应。 解:传递函数: )1)(2(2 3)(+++=s s s s G ,微分方程:)(2)(3)(2)(3)(2 2t r dt t dr t c dt t dc dt t c d +=++ 脉冲响应:t t e e t c 24)(--+-= 例7一个控制系统的单位脉冲响应为t t e e t C ---=24)(,试求系统的传递函数、微分方程、单位阶跃响应。 (t) )()() ()(2 2t u t u dt t du RC dt t u d LC r c c c =++11 )()()(2 ++==RCs LCs s U s U s G r c ) ()()()(2s U s U s RCsU s U LCs r c c c =++=?k K K P 1

期末复习题一及参考答案

. 期末复习题(一)及参考答案 一、名词解释题 1.第一审公诉案件的刑事判决书1 12.第一审适用普通程序的民事判决书3.不予执行裁定书1 4.起诉书1 5.提请批准逮捕书1 6.监狱文书1 7.刑事自诉状1 8.现场监督类公证书1 9.仲裁协议书1 10.行政许可受理决定书1 二、单项选择题 1.起诉意见书是依据《中华人民共和国刑事诉讼法》第()条而制作的。1 A.60条 B.66条 C.129条 D.61条 2.下面哪个文书不用送达人民检察院?()1 A.提请批准逮捕书 B.呈请拘留报告书 C.起诉意见书 D.询问笔录 3.下面关于提请批准逮捕书的叙述不正确的是()。1 A.提请批准逮捕书一案一份 B.提请批准逮捕书应制作一式三份 C.需经县级以上公安机关负责人批准 D.提请批准逮捕书应存入侦查工作卷 4.下面是×市公安局一份提请批准逮捕书的文书编号,正确的是()1 A.〔05〕×公刑捕字第15号 B.×公逮字〔05〕第15号 C.〔2005〕×公刑捕字第15号 D.×公刑提捕字(2005)15号 5.2002年司法部监狱管理局根据刑法、刑事诉讼法和监狱法的有关规定,结合监狱管理工作的具体要求,重新制定了()。1 A.《监狱司法文书格式(试行)》 B.《监狱改造文书格式》 C.《劳动改造机关执法文书格式》 D.《监狱执法文书格式(试行)》 6.准确表述律师实务文书性质的一项是()。1 A.规范性法律文件 B.非规范性法律文书 C.具有法律效力的非规范性法律文书 D.具有法律意义的非规范性法律文书 7.为提起告诉才处理的案件,被害人有证据证明的轻微刑事案件,以及被害人有证据证明对被

工程材料试题及答案

工程材料试题 一、填空题(1×20=20分) 1.常用的材料强度指标有抗拉强度和屈服强度两种强度指标。(6页) 2.金属结晶的必要条件是一定的过冷度。(28页) 3.屈强比是屈服强度与,抗拉强度之比。(6页) 4.一般工程结构用金属是多晶体,在各个方向上的性能相同,这就是实际金属的各向同性现象。(20页) 5.实际金属存在点缺陷、线缺陷和面缺陷三种缺陷。实际晶体的强度比理想晶体的强度低得多。(20-21页) 6.共析钢加热至Ac1时将发生珠光体向奥氏体的转变,其形成过程包括四个阶段。(51页) 7.碳在铸铁中可以两种形式存在渗碳体和石墨。(131页) 8.金属晶体通过滑移和孪生两种方式来发生塑性变形。(83页) 9.缩聚反应的实施方法主要有熔融缩聚和溶液缩聚两种。 二、单项选择题(在下列选项中选择一个正确答案,并将其序号填在括号内)(每题2分,共20分) 1.钢在淬火后所得的组织是(A ) A.淬火马氏体 B.回火索氏体 C.回火屈氏体 D.索氏体 2.在淬火钢中,当含碳量增加到0.6%以后,随含碳量的增加,硬度增加缓慢,这是因为( A ) A. 随含碳量的增加,残余奥氏体的量增多 B. 随含碳量的增加,片状马氏体的量增多 C. 随含碳量的增加,淬火内应力增大 D. 随含碳量的增加,非马氏体的量减少 3.若钢中加入的合金元素能使C曲线左移,则将使钢的淬透性(B ) A.提高 B.降低 C.不改变 D.对小试样堤高,对大试样则降低 4.下列钢铁材料中切削性能较好的是(B ) A.工业纯铁 B.45钢 C.白口铸铁 D.T12A钢 5.钢锭中的疏松可以能过下面哪种方法改善(B ) A.完全退火 B.足够变形量的锻轧 C.扩散退火 D.正火 6.正火T8钢与完全退火T8钢相比( B ) A.前者珠光体更细密,故强度要低些 B. 前者珠光体更细密,故强度要高些 C.前者珠光体更粗大,故强度要低些 D. 前者珠光体更粗大,故强度要高些 7.退火亚共析钢,随含碳量的增加( B ) A.HBS、σb值减小,δ、aK值增加 B. HBS、σb值增加,δ、aK值减小 C. HBS、σb值增加,δ、aK值增加 D. HBS、σb值减小,δ、aK值减小 8.碳含量为Wc=4.3%的铁碳合金具有良好的( D ) A. 可锻性 B. 切削加工性能 C. 可焊性 D. 铸造性能 9.建筑用钢筋宜选用( C ) A. 高碳钢 B.中碳钢 C. 低碳钢 D.工具钢 10.下述退火态钢中强度最高的是( D ) A.T13钢 B.45钢 C.65钢 D.T8钢 三、判断题(1×10=10分) 1、合金渗碳钢经最终热处理后的组织全部是回火马氏体。(×) 2、热加工与冷加工的主要区别在于是否有加工强化现象产生。(×) 3、铸铁是含碳量小于2.11%的铁碳合金。(×) 4、二元共晶相图是指合金两组元在液态和固态均能无限互溶所构成的相图。(×) 5、感应加热表面淬火一般只改变钢件表面层的组织,而不改变心部组织。(√) 6、一个合金的室温组织为α+β11 +(α+β),则它由三相组成。(×) 7、将金属加热到再结晶温度以上时,金属将发生回复、再结晶及晶粒长大等变化。(√) 8、金属在塑性变形后产生的纤维组织能使金属具有各向异性。(√) 9、碳钢的塑性和强度都随着含碳量的增加而降低。(×) 10、金属的再结晶转变,也要经历形核与晶核长大的过程。(√) 四、名词解释(3×6=18分) 1.屈服强度:是指当材料呈现屈服现象时,在试验期间达到塑性变形发生而力不增加的应力点。(6页) 2、断后伸长率:断后伸长率是指试样拉断后标距的伸长量与原标距长度的百分比。用符号δ表示。(7页) 3、晶胞:从晶格中选取一个能够完全反映晶格特征的,最小的几何单元来分析晶体中原子排列的规律。这个最小的几何单元称为晶胞。(18页) 4、过冷度:理论结晶温度与实际结晶温度之差。(28页) 5、固溶体:合金在固态时组元间会相互溶解,形成一种在某一组元晶格中包含有其他组元的新相,这种新相称为固溶体。(22页) 6、变质处理:在浇铸前向液体金属中加入难溶质点(变溶质)结晶时这些质点将在液体中形成大量非自发晶核,使晶粒数目大大增加,从而达到晶粒细化的作用。(30页) 四、问答题(4×8=32分) 1.什么是工程材料?按其组成主要分为哪些类型? 答:工程材料主要指用于机械工程和建筑工程等领域的材料。按其组成主要分为:金属材料、高分子材料、无机非金属材料、复合材料。(2页) 2、金属的实际晶体中存在哪些晶体缺陷?它们对性能有什么影响?(20-22页) 答:在实际金属中存在的缺陷有点缺陷(空位和间隙原子)、线缺陷(位错)和面缺陷(晶界)三种类型。一般情况下,晶体缺陷的存在可以提高金属的强度,但是晶体缺陷的存在常常降低金属的抗腐蚀性能。 3、淬火目的是什么?常用淬火方法有哪些?(65-66页) 答:淬火目的是提高钢的硬度和耐磨性,常用淬火方法有单介质淬火、双介质淬火、马氏体分

计算机控制技术总复习题

1.一个联机系统不一定是一个实时系统,但一个实时控制系统必定是联机系统。 2.操作指导控制系统是一种闭环控制结构,该系统的优点是结构简单,控制灵活和安全,缺点是不适合 用于多个对象的控制。 3.温度控制系统的热惯性大、反应慢、所以调节需要频繁,采样周期选得要短一点;对于一些快速系统, 如交直流可逆调速系统、随动系统,要求采样周期长一点。 4.计算机控制系统与连续系统相比,在系统结构与参数不变的条件下,抑制干扰的能力加强。 5.计算机控制系统中,计算机的作用主要有哪几个方面? 6.生产过程设备不直接受计算机控制,而是通过中间记录介质,靠人进行联系并作相应操作的方式,称 为“离线”方式,离线方式能实时地对系统进行控制。 7.采样周期取值越大,那么复现精度就越高,也就是“越真”。 8.过程输入输出通道是计算机和被控对象(或生产过程)之间设置的信息传送和转换的连接通道。一般 分为:模拟量输入输出通道和开关量输入输出通道。 9.监督控制方式的控制效果,主要取决于数学模型的优劣。这个数学模型一般是针对其一目标函数设计 的,如这一数学模型能使某一目标函数达到最优状态,那么,这种控制方式就能实现最优控制。 10.计算机控制系统的控制过程分为哪几步? 11.生产过程设备不直接受计算机控制,而是通过中间记录介质,靠人进行联系并作相应操作的方式,称 为“脱机”方式或“离线”方式,离线方式能实时地对系统进行控制。 12.计算机控制系统与连续系统相比,在系统结构与参数不变的条件下,抑制干扰的能力加强。 13.零阶保持器是一个低通滤波器,但不是一个理想低通滤波器,高频信号通过零阶保持器不能完全滤除, 同时产生相位滞后。 14.一般来说,采样周期T也对系统的稳定性有影响。加长采样周期,会改善系 统的稳定性。 15.用框图表示直接数字控制系统的构成。 16.A/D、D/A转换器不仅影响系统稳态控制精度,而且影响系统动态指标。 17.零阶保持器是一个低通滤波器,但不是一个理想低通滤波器,高频信号通过零阶保持器不能完全滤除, 同时产生相位滞后。 18.计算机操作指导控制系统是由多台计算机分别控制生产过程中的多个控制回路,同时又可集中获取数 据和集中管理的自动控制系统。 19.计算机控制系统主要由哪些部分组成? 20.在计算机控制系统中,最广泛采用的一类保持器是一阶保持器,它将前一个采样时刻的采样值恒定地 保持到下一个采样时刻。 21.采样器、保持器和数字控制器的结构形式和控制规律决定系统动态特性,而A/D、D/A转换器不影响 系统动态指标。 22.一般来说,采样周期T也对系统的稳定性有影响。加长采样周期,会改善系统的稳定性。 23.数字量输出通道的任务是根据计算机输出的数字信号去控制电接点的通、断或数字执行器的启、停等, 简称DO通道。 24.用框图表示计算机控制系统的组成。 25.一个联机系统不一定是一个实时系统,但一个实时控制系统必定是联机系统。 26.操作指导控制系统是一种闭环控制结构,该系统的优点是结构简单,控制灵活和安全,缺点是不适合 用于多个对象的控制。 27.采样器、保持器和数字控制器的结构形式和控制规律决定系统动态特性,而 A/D、D/A转换器不影响系统动态指标。 28.数字量输出通道的任务是根据计算机输出的数字信号去控制电接点的通、断 或数字执行器的启、停等,简称DI通道。 29.根据控制功能和控制目的,计算机控制系统主要分成哪些类型? 30.温度控制系统的热惯性大、反应慢,所以调节需要频繁,采样周期选得要短一点;对于一些快速系统,

相关主题
文本预览
相关文档 最新文档