当前位置:文档之家› 哈工大电工电子chapter16

哈工大电工电子chapter16

第16章

基本放大电路

哈尔滨工业大学电工学教研室

目录

16.1 基本放大电路的组成

16.2 放大电路的静态分析

16.3 放大电路的动态分析

16.4 静态工作点的稳定

16.5 射极输出器

16.6 放大电路中的负反馈

16.7 放大电路的频率特性

16.8 多级放大电路及其级间耦合方式16.9 差动放大电路

16.1 基本放大电路的组成

放大器的目的是将微弱的变化电信号转换为较强的电信号。

放大器实现放大的条件:

1. 晶体管必须偏置在放大区。发射结正偏,集电结反偏。

2. 正确设置静态工作点,使整个波形处于放大区。

3. 输出回路将变化的集电极电流转化成变

化的集电极电压,经电容滤波只输出交流信号。

u i

u o

共射极放大电路

1. 晶体管T 的作用

R B +U CC

R C

C 1

C

2

放大元件满足i C = i B ,T 应工作在放大区,

即保证集电结反

偏,发射结正偏。i b

i c i e

2. 集电极电源U CC 作用

共射极放大电路

R B +U CC

R C

C 1

C 2

集电极电

源作用,是为电路提供能量。

并保证集电结反偏。

3. 集电极负载电阻R C 作用共射极放大电路

R B +U CC R C

C 1

C 2

集电极电阻的作用是将变化的电流转变为变化的电压。

4. 基极电阻R B 的作用

+U CC

R C

C 1

C 2

T

R B

共射极放大电路

基极电阻能提供适当的静态工作点。并保证发射结正偏。

5. 耦合电容C 1和C 2作用

(1) 隔直作用隔离输入.输出与电路的直流通道。

(2)交流耦合作用能使交流信号

顺利通过。

共射极放大电路

R B +U CC

R C

C 1

C 2

16.2 放大电路的静态分析

16.2.1 用放大电路的直流通路确定静态值

放大电路中各点的电压或电流都是在静态直流上附加了小的交流信号。

电路中电容对交、直流的作用不同。如果电容容量足够大,可以认为它对交流不起作用,即对交流短路。而对直流可以看成开路,这样,交直流所走的通道是不同的。

交流通道---只考虑交流信号的分电路。

直流通道---只考虑直流信号的分电路。

1. 直流通道

R B

+U CC R C I

C

U CE

I B

T

将电路中的隔直

电容C

1、C

2

开路,直

流通道的简化电路如

图所示。

直流通道的简化电路

I B =

U CC -U BE

R B

①基极电流

②集电极电流I C = βI B

③集-射极电压

U CE = U CC -R C I C

2. 静态时当U BE << U CC 时B

CC

B R U I ≈

[解]根据直流通道可得出I C = I B =37.5×0.04

= 1.5 mA

U CE = U CC –I C R C

=12 -1.5×10-3×4×103

R B

+U CC

R C

U CE

I B

U BE +-

+-

B

C

E

I C

T =

12300×103

I B =

U CC

R B

μA

已知U CC =12V , R C =4kΩ, R B =300kΩ, β=37.5 , 试求放大电路的静态值。

例题16.1

16.2.2 用图解法确定静态值

电路的工作情况由负载线与非线性元件的伏安特性曲线的交点确定。这个交点称为工作点。

R C的直流负载线与晶体管的某条(由I B 确定)输出特性曲线的交点Q,称为放大电路的静态工作点,由它确定放大电路的电压和电流的静态值。

I B

U BE

Q

I BQ

U BEQ

I C

U CE

Q

U CEQ

I CQ

如图所示,(I BQ ,U BEQ ) 和( I CQ ,U CEQ )分别对应于输入输出特性曲线上的一个点,称为静态工作点Q 。输入输出特性曲线

1. 输入输出特性曲线

U CE = U CC –I C R C

Q

I B I C

U CE

U CC

U CC R C

2. 直流负载线

C

CC

R U R U I +

-=C CE C

Q

U CC

(1)作直流负载线[解]

I C =0 时

U CE =U CC

可在图上作直流负载线。

I C U CE Q 1

Q 2

I B = 20μA I B = 0

I B = 40μA I B = 60μA I B = 80μA

I B = 100μA

1.5

3

12

6

(V)

(mA)

3mA A 10

4123

C CC C =?==R U I U CE = 0 时

根据U CE =U CC -R C I C

C

CC R U 已知U CC =12V , R C =4kΩ, R B =300kΩ, β=37.5 。(1)作直流负载线;(2)求静态值。

例题16.2

(2)求静态值

基极电流

= 40 μA

I B = 40μA I C = 1.5mA U CE = 6V

6

3

B C

C B 104010

30012-?=?==R U I 由图中Q 点得:

集电极电流发射极电流

I C = βI B

=37.5×40×10-61=1.5mA I E = ( 1+β)I B = 1.5mA

16.3.1 微变等效电路法

i B U BE

当输入信号很小时,在静态工

作点Q 附近的工作段可认为是直线。

对输入的小交流信号而言,三极管

相当于电阻r be ,表示输入特性。

?U BE ?I B

CE

CE

U U i u i u r b

be B

BE

be =??=

1. 晶体管的微变等效电路

(1)输入特性曲线

Q

16.3 放大电路的动态分析

对于低频小功率晶体管的输入电阻估算为:

Ω

++Ω=)

mA ()

mV (26)1()(200E be I r β式中,I E :发射极电流的静态值; β:晶体管的放大倍数;r be :输入电阻,其值一般为几百欧到几千欧(动态电阻)。

i C

u CE

)

(

b

B

c

C

C

i

I

i

I

i+

=

+

b

B

i

β+

=

CE

CE

U

b

C

U

B

C

i

i

I

I

=

?

?

=

β

输出端相当于一个受i b控制的电流源。

输出端还等效并联一

个大电阻r

ce

(2)输出特性曲线

在线性工作区是一族平行直线。

i b

i C

哈工大电工综合设计完整版

时间:2013春季学期班级:1108101学号:1110810104姓名:陈文华 11.驱动七段共阴极LED数码管的译码电路 一、设计要求: (1)输入变量A、B、C来自计数器,按顺序000~111计数。当ABC=000时,数码管全灭;以后要求依次显示H、O、P、E、F、U、L七个字母。 (2)输入变量A、B、C来自计数器,按顺序000~111计数。当ABC=000时,数码管全灭;以后要求依次显示1、1、0、8、1、0、1(或1108102、103、104)七个数字(根据自己的班级号)。 二、设计方案: 1.设计原理及设计方案选择 (1)a.本题目要求来自计数器的变量A、B、C,所以需要一计数器,使其八进制计数。A、B、C输出还不能直接接数码显示器,需要经过一译码器,将具有特定含义的二进制代码译成对应的输出信号,然后根据题目要求对译码器的输出进行逻辑运算,接到数码管显示。 b.根据学过的知识,对于计数模块,异步集成计数器74LS90和同步集成计数器74LS161都能实现要求,本设计采用的是异步集成计数器74LS90。通过接线方式的处理就可以实现八进制计数。 c.对于译码模块,采用的是3线—8线译码器74LS138。将计数器的ABC(D在本次设计中不需要接到输入)输出接到译码器的输入,经过译码器译成对应的输出信号,这样就可以对能实现要求的信号进行逻辑运算了。 为了实现设计要求,需根据要显示的内容和输出信号来进行逻辑运算,如下: 译码输出: C B A Y0Y1Y2Y3Y4Y5Y6Y7 00001111111 00110111111 010******** 01111101111 10011110111 10111111011 11011111101 11111111110 要显示的内容: a.显示H、O、P、E、F、U、L七个字母: a b c d e f g显示字形 0000000数码管全灭 0110111H 1111110O 1100111P

声控灯地设计与制作-哈工大-电子技术课程设计

H a r b i n I n s t i t u t e o f T e c h n o l o g y 课程设计说明书(论文) 课程名称:电子技术课程设计 设计题目:声控开关的设计与制作 院系:电气工程及其自动化 班级:1406111 设计者:元胜 学号:1140610319 指导教师:吕超 设计时间:2016年12月5-18日 工业大学

工业大学课程设计任务书

*注:此任务书由课程设计指导教师填写。

声控灯的设计与制作 1设计任务及原理 设计任务基本要求:设计一个声控开关,控制对象为发光二极管,接收到一定强度的声音后,声控开关点亮发光二级管,灯亮时间可调。控制延时时间用数字显示。 扩展要求:发光二极管点亮时间延时显示。 1.1设计原理 声控灯是将声音信号转换为电信号、电信号再转换为光信号的装置。 输入部分可由一个驻极体话筒实现。话筒的高分子极化膜生产时就注入了一定的永久电荷。在声波的作用下,极化膜随着声音震动,电容是随声波变化。于是电容两极间的电压就会成反比的变化。将电容两端的电压取出来,就可以得到和声音对应的电压了。但是这个电压信号非常小,不能驱动LED灯。对这个电压信号进行放大、整形,才能得到足够大的电压。 声控灯的延时可以由一个单稳态触发电路实现。单稳态电路的暂态时间就是发光二极管的发光持续时间。用前面经放大的电压作为触发脉冲输送给单稳态触发电路,会得到一个持续特定时间的电压输出。这个输出来驱动发光二极管,就达到了声控、发光的目的。 计数器部分首先需要一个时钟源。时钟源脉冲可由多谐振荡器获得。将单稳态电路的输出与时基脉冲结合,控制计数器的计数与清零,就可以使计数部分与发光部分同步工作。 计数结果再经译码输送给共阳极数码管,显示出来。 2设计过程 2.1声控灯电路原理: 当驻极体话筒接受到一定强度的声音信号时,声音信号转换为电压信号,经三极管放大、施密特触发器整形后,触发单稳态延时电路,产生一个宽度可调的脉冲信号,驱动发光二极管发光。同时,该脉冲信号作为选通信号,使计数器计数,并用数码管显示延时时间。电路的流程图如图 1所示:

哈工大电工设计报告参考答案 2

2012秋季学期《电工技术I》大作业 (1108101~104) 班级: 1108103 学号: 姓名: 成绩:

继电接触器和可编程控制器综合设计题目 有一运料小车在A、B两地来回运行,其中A地为装料处,设有限位开关ST1,每次装料时间为30s;B地为卸料处,设有限位开关ST2,每次卸料时间为20s。 小车运行控制分手控操作和自控操作。 控制要求: (1)手动操作:能手动控制小车向A地运行或向B地运行。 (2)自控操作:当小车启动时,有一物料检测传感器检测小车料箱是否有料,如果有料,该传感器的常开触点闭合,小车自动向B地运行;如果无料,该传感器的常闭触点闭合,小车自动向A地运行。小车到达B地限位开关ST2处停车20s卸料,然后自动驶向A地;小车到达A地限位开关ST1处停车30s装料,然后再自动返回B地卸料。如此循环往复。 (3)停车控制:小车在自动往返运行过程中,均可用手动开关令其停车。再次启动后,小车重复(2)中内容。 设计要求: (1)设计控制该小车运行的继电接触器控制电路(包括主电路和控制电路); (2)设计控制该小车运行的PLC控制梯形图程序并画出外部接线图(注意进行I/O分配)。 (3)写出综合设计报告。 限位开关限位开关

PLC控制梯形图如下:

I/O分配如下: 1、手动操作 无论小车是否运行,只要按下SB4,KM1将会通电,其常闭触点断开,常开触点闭合,如果此前KM2处于通电状态,这个时候,KM2也将会断电,其常闭触点也会闭合。从而KM1实现自锁,并向A点运行,直至按下SB1或触到行程开关ST1。此后如果不按下SB4或SB5,小车会处于自动运行状态。 2、自动操作 如果小车中有料,则KM闭合,线圈KM0通电,则其常闭触点断开、常开触点闭合,KM2通电,并实现自锁与互锁。向B点运行。如果小车中无料,则KM 断开,线圈KM0通电,则其常闭触点断开、常开触点闭合,KM1通电,并实现自锁与互锁。向A点运行。启动时,如果小车先向A点运行,到达A点后行程开关ST1的常开触点闭合,常闭触点断开。KM1断电的同时,KT1开始计时。30S后,常开延时闭合开关ST1闭合,同时小车中因为有料而KM0通电,小车开始往B点运行,并实现自锁与互锁。到达B点后,使行程开关ST2的常闭触点断开,常开触点闭合,小车停止运行,KT2开始计时。20S后,常开延时闭合开关ST2闭合,同时小车中因为无料而K断电,小车开始往A点运行,并实现自锁与互锁。从此在A、B间往复运行,除非对其手动控制或按下SB0。 3、停车控制 按下SB0即可实现。

哈工大电子技术实验四人无弃权表决电路高分版

姓名 ________ X XX ______ 班级 1108301 学号 11108301XX 实验日期 6.5 节次 9-11 教师签字 ____________ 成绩 ______ 四人无弃权表决电路 1■实验目的 1)掌握74LS20的逻辑功能和使用方法; 2 )通过实验,进一步熟悉组合逻辑电路的分析与设计方法。 2■总体设计方案或技术路线 设计一个四人无弃权表决电路 (多数赞成则提议通过, 即三人以上包括三人),用74LS20 来实现。 1) 根据任务的要求,设计电路; 2) 用代数化简法求出最简的逻辑表达式; 3) 根据表达式,画出逻辑电路图,用标准器件(与、或、非)构成电路; 4) 最后,用实验来验证设计的正确性。 3■实验电路图 2)改变ABCD 勺组态,记录 Z 的变化,验证逻辑函数的功能及设计的正确性。 4.仪器设备名称、型号 1)实验箱 1 台 2)双踪示波器 1 台 3)双路直流稳压电源 1 台 4)数字万用表 1 只 1) ABCD 俞入端,接数据开关;Z 输出端接电平指示器 5) 74LS20 3 片 J 74ILS20 BCD T I _ 1^ ^T —-d :—T~r~~ 14 13 12 11 10 9 8 J 74LS20 1 2 3 4 5 6 7

5.理论分析或仿真分析结果 74LS20管脚图: 逻辑关系式: Z=ABC+BCD+ACD+ABD= AB C BCDACD ABD 逻辑图: ABC BCD ACD ABD

6■详细实验步骤及实验结果数据记录(包括各仪器、仪表量程及内阻的记录)真值表:

7.实验结论 由真值表可知,四人无弃权表决电路设计成功,实现了预期功能。 8.实验中出现的问题及解决对策 实验过程中由于有五个与门,而每个74LS20 可实现两个与门,故线路连起来相当复杂, 容易混淆,故在连接电路时安排好位置,标记好引脚和接头。 9.本次实验的收获和体会、对电路实验室的意见或建议 此次设计是对经典四人表决电路的一次创新,利用书本上的知识和以前类似实验的设计思路进行了此次实验,锻炼了实践能力,熟悉了组合逻辑电路的设计方法。 这次的实验绝对原创的,是对以前做过的实验的一次创新,复杂了不少,锻炼了能力。 10.参考文献 [1] 电工学实验教程/王宇红主编. ——北京:机械工业出版社,2009.8 (2012.1 重印)

2009年哈工大微电子复试题

2009年本部微电子专业复试笔试题。 建议:复习参考书选择,晶体管原理部分,用罗老师讲课的小本的就行。 如时间充裕可适当看大本的。 电子技术选用本部的基础电子技术和集成电子技术,基本是原题,课后思考题,主要看1---10章,后面的部分不是重点。 半导体集成电路看韩老师讲的课件,本部历年的真题,半导体集成电路那本书也可以适当看看。 题目比较简单,但是题量比较大,文字部分的书写较多,合理分配时间。 晶体管原理 一.简答 1.发射效率和基区输运系数的定义,有什么意义。 2 .JEFT,MOSFET,MAOSFET,MNSFET个代表什么 3.基区宽度扩展对什么参数或什么特性有什么影响 4.镇流电阻如何接,阻值大小有何影响 5.扩散电容的原理.其本质是什么? 二:结合BJT的载流子输运过程,说明为什么说尽量增加发射区掺杂浓度,大于基区掺杂浓度,可以提高共发射极电流放大系数。 三:电荷控制方程以及每部分代表的意义是什么。 四:PN结加正向偏压Vf 和反向偏压Vr 时,画出少数载流子浓度分布图,并写出边界区载流子浓度表达式和载流子浓度分布方程。 五:发射极电流集边效应定义,产生原因。 电子技术 六:本部基础电子技术64页图2.3.1,求其负载电阻分别为无穷大和5K时的Q点,放大倍数、Ri 、Ro。 七:出自本部基础电子技术第3章第5题 【3-5】在图3-5所示的差分放大电路中,已知两个对称晶体管的β=50,r be=1.2kΩ。 1.画出共模、差模半边电路的交流通路。 A。 2.求差模电压放大倍数ud 3.求单端输出和双端输出时的共模抑制比K CMR。 V C V EE 图3-5题3-5电路图 解:

哈工大电气培养方案

电气工程及其自动化专业本科生培养方案 一、培养目标 本专业培养具备电气工程领域相关的基础理论、专业技术和实践能力,具有宽广的自然科学基础和良好的人文素养,富于创新精神,能在电机与电器、电力系统、工业自动化以及电气装备制造等领域从事科学研究、工程设计、系统运行、试验分析、管理等工作的宽口径、复合型高级工程技术人才,以及具有国际竞争力的高水平研究型精英人才或工程领军人才。 二、培养要求 本专业学生主要学习电路、电磁场、电子技术基础、计算机技术、信号分析与处理、通信与网络技术、电机学、自动控制理论和电力电子技术等方面基础理论和专业知识,接受电工、电子、信息、控制及计算机技术方面的基本训练,掌握解决电气工程领域中的装备设计与制造、系统分析与运行及控制的基本能力。 毕业生应当具备以下几方面的知识和能力: 1.掌握较扎实的高等数学和大学物理等自然科学基础知识,具有较好的人文社会科学和管理科学基础,具有一定的外语国际交流和运用能力; 2.系统地掌握电气工程学科的基础理论和基本知识,主要包括电工理论、电子技术、信息处理、控制理论、计算机软硬件基本原理与应用等; 3.掌握电气工程相关的系统分析方法、设计方法和实验技术; 4.具有本专业领域内至少一个专业方向(电机、电力系统、工业自动化和电器)的专业知识和技能,了解本专业学科前沿的发展趋势; 5.具有较强的适应能力,具备一定的科学研究、技术开发和组织管理能力; 6.具有较好的工程实践动手能力和计算机应用能力,能综合运用所学知识分析和解决本领域工程问题; 7.掌握其他的一些技能,如信息技术获取,组织管理,团队合作,持续的知识学习等。 三、主干学科 电气工程。 四、专业主干课程 C语言程序设计、机械学基础、电路、模拟电子技术基础、数字电子技术基础、电磁场、电机学、自动控制理论、嵌入式系统原理及应用、仿真技术与应用、电力电子技术、信号与系统、工业通信与网络技术。 五、修业年限、授予学位及毕业学分要求 修业年限:四年。 授予学位:工学学士。 毕业学分要求:本专业学生应达到学校对本科毕业生提出的德、智、体、美等方面的要求,完成教学计划规定的全部课程的学习及实践环节训练,修满167.5学分,其中通识教育类课程 62.5学分,专业教育类课程68.0学分,实践环节37.0学分,毕业设计(论文)答辩合格,方可准予毕业。

哈工大自动控制原理 大作业

自动控制原理 大作业 (设计任务书) 姓名: 院系: 班级: 学号: 5. 参考图5 所示的系统。试设计一个滞后-超前校正装置,使得稳态速度误差常数为20 秒-1,相位裕度为60

度,幅值裕度不小于8 分贝。利用MATLAB 画出 已校正系统的单位阶跃和单位斜坡响应曲线。 + 一.人工设计过程 1.计算数据确定校正装置传递函数 为满足设计要求,这里将超前滞后装置的形式选为 ) 1)(() 1)(1()(2 12 1T s T s T s T s K s G c c ββ++++= 于是,校正后系统的开环传递函数为)()(s G s G c 。这样就有 )5)(1()(lim )()(lim 00++==→→s s s K s sG s G s sG K c c s c s v 205 ==c K 所以 100=c K 这里我们令100=K ,1=c K ,则为校正系统开环传函) 5)(1(100 )(++= s s s s G

首先绘制未校正系统的Bode 图 由图1可知,增益已调整但尚校正的系统的相角裕度为? 23.6504-,这表明系统是不稳定的。超前滞后校正装置设计的下一步是选择一个新的增益穿越频率。由)(ωj G 的相角曲线可知,相角穿越频率为2rad/s ,将新的增益穿越频率仍选为2rad/s ,但要求2=ωrad/s 处的超前相角为? 60。单个超前滞后装置能够轻易提供这一超前角。 一旦选定增益频率为2rad/s ,就可以确定超前滞后校正装置中的相角滞后部分的转角频率。将转角频率2/1T =ω选得低于新的增益穿越频率1个十倍频程,即选择2.0=ωrad/s 。要获得另一个转角频率)/(12T βω=,需要知道β的数值, 对于超前校正,最大的超前相角m φ由下式确定 1 1 sin +-= ββφm 因此选)79.64(20 ==m φβ,那么,对应校正装置相角滞后部分的极点的转角频率为 )/(12T βω=就是01.0=ω,于是,超前滞后校正装置的相角滞后部分的传函为 1 1001 520 01.02.0++=++s s s s 相角超前部分:由图1知dB j G 10|)4.2(|=。因此,如果超前滞后校正装置在2=ωrad/s 处提供-10dB 的增益,新的增益穿越频率就是所期望的增益穿越频率。从这一要求出发,可 以画一条斜率为-20dB 且穿过(2rad/s ,-10dB )的直线。这条直线与0dB 和-26dB 线的交点就确定了转角频率。因此,超前部分的转角频率被确定为s rad s rad /10/5.021==ωω和。 因此,超前校正装置的超前部分传函为 )1 1.01 2(201105.0++=++s s s s 综合校正装置的超前与之后部分的传函,可以得到校正装置的传递函数)(S G c 。 即) 1100)(11.0() 15)(12(01.02.0105.0)(++++=++++= s s s s s s s s s G c 校正后系统的开环传递函数为

哈工大电子技术实验四人无弃权表决电路(高分版)

姓名XXX 班级1108301 学号xx 实验日期节次 9-11 教师签字成绩 四人无弃权表决电路 1.实验目的 1)掌握74LS20的逻辑功能和使用方法; 2)通过实验,进一步熟悉组合逻辑电路的分析与设计方法。 2.总体设计方案或技术路线 设计一个四人无弃权表决电路(多数赞成则提议通过,即三人以上包括三人),用74LS20来实现。 1)根据任务的要求,设计电路; 2)用代数化简法求出最简的逻辑表达式; 3)根据表达式,画出逻辑电路图,用标准器件(与、或、非)构成电路; 4)最后,用实验来验证设计的正确性。 3.实验电路图 1)ABCD输入端,接数据开关;Z输出端接电平指示器; 2)改变ABCD的组态,记录Z的变化,验证逻辑函数的功能及设计的正确性。 4. 仪器设备名称、型号

1)实验箱 1台2)双踪示波器 1台3)双路直流稳压电源 1台4)数字万用表 1只5)74LS20 3片5.理论分析或仿真分析结果 74LS20管脚图: 逻辑关系式: C AB D Z=ABC+BCD+ACD+ABD=AB BCDACD 逻辑图:

6.详细实验步骤及实验结果数据记录(包括各仪器、仪表量程及内阻的记录)真值表: A B C D F 00000 00010 00100 00110

7.实验结论 由真值表可知,四人无弃权表决电路设计成功,实现了预期功能。

8.实验中出现的问题及解决对策 实验过程中由于有五个与门,而每个74LS20可实现两个与门,故线路连起来相当复杂,容易混淆,故在连接电路时安排好位置,标记好引脚和接头。 9.本次实验的收获和体会、对电路实验室的意见或建议 此次设计是对经典四人表决电路的一次创新,利用书本上的知识和以前类似实验的设计思路进行了此次实验,锻炼了实践能力,熟悉了组合逻辑电路的设计方法。 这次的实验绝对原创的,是对以前做过的实验的一次创新,复杂了不少,锻炼了能力。 10.参考文献 [1]电工学实验教程/王宇红主编.——北京:机械工业出版社,(重印)

哈工大数字电子技术基础习题册答案7和10(修改)

第7章 时序逻辑电路 【7-1】已知时序逻辑电路如图7.1所示,假设触发器的初始状态均为0。 (1 )写出电路的状态方程和输出方程。 (2) 分别列出X =0和X =1两种情况下的状态转换表,说明其逻辑功能。 (3) 画出X =1时,在CP 脉冲作用下的Q 1、Q 2和输出Z 的波形。 1J 1K C11J 1K C1Q 1 Q 2 CP X Z 1 图7.1 解: 1.电路的状态方程和输出方程 n 1n 2n 11n 1Q Q Q X Q +=+ n 2n 11n 2Q Q Q ⊕=+ CP Q Q Z 21= 2 .分别列出X =0和X =1两种情况下的状态转换表,见题表7.1所示。逻辑功能为 当X =0时,为2位二进制减法计数器;当X =1时,为3进制减法计数器。 3.X =1时,在CP 脉冲作用下的Q 1、Q 2和输出Z 的波形如图7.1(b)所示。 题表7.1 Q Q Z 图7.1(b) 【7-2】电路如图7.2所示,假设初始状态Q a Q b Q c =000。 (1) 写出驱动方程、列出状态转换表、画出完整的状态转换图。 (2) 试分析该电路构成的是几进制的计数器。 Q c

解: 1.写出驱动方程 1a a ==K J n c n a b b Q Q K J ?== n b n a c Q Q J = n a c Q K = 2.写出状态方程 n a 1 n a Q Q =+ n a n a n a n a n c n a 1n b Q Q Q Q Q Q Q +=+ n c n a n c n b n a 1n b Q Q Q Q Q Q +=+ 3.列出状态转换表见题表7.2,状态转换图如图7.2(b)所示。 图7.2(b) 表7.2状态转换表 CP n a n b c Q Q Q 0 0 0 0 1 0 0 1 2 0 1 0 3 0 1 1 4 1 0 0 5 1 0 1 6 0 0 0 n 4.由FF a 、FF b 和FF c 构成的是六进制的计数器。 【7-3】在二进制异步计数器中,请将正确的进位端或借位端(Q 或Q )填入下表 解: 题表7-3 下降沿触发 由 Q 端引出进位 由Q 端引出借位 触发方式 加法计数器 减法计数器上升沿触发 由Q 端引出进位 由Q 端引出借位 【7-4】电路如图7.4(a)所示,假设初始状态Q 2Q 1Q 0=000。 1. 试分析由FF 1和FF 0构成的是几进制计数器; 2. 说明整个电路为几进制计数器。列出状态转换表,画出完整的状态转换图和CP 作用下的波形图。

哈工大微电子复试---基础电子与集成电子习题资料汇总

哈尔滨工业大学微电子学与固体电子学专业复试——基础电子技术习题 第一章基本放大电路 1-1 填空: 1.本征半导体是,其载流子是和。载流子的浓度。 2.在杂质半导体中,多数载流子的浓度主要取决于,而少数载流子的浓度则与有很大关系。 3.漂移电流是在作用下形成的。 4.二极管的最主要特征是,它的两个主要参数是 和。 5.稳压管是利用了二极管的特征,而制造的特殊二极管。它工作在。描述稳压管的主要参数有四种,它们分别是、、、和。 6.某稳压管具有正的电压温度系数,那么当温度升高时,稳压管的稳压值将。 7.双极型晶体管可以分成和两种类型,它们工作时有 和两种载流子参与导电。 8.场效应管从结构上分成和两种类型,它的导电过程仅仅取决于载流子的流动;因而它又称做器件。 9.场效应管属于控制型器件,而双极型半导体三极管则可以认为是控制型器件。 10.当温度升高时,双极性三极管的β将,反向饱和电流I CEO正向结压降U BE。 11.用万用表判别放大电路中处于正常放大工作的某个晶体管的类型与三个电极时,测出最为方便。 12.三极管工作有三个区域,在放大区时,偏置为和;饱和区,偏置为和;截止区,偏置为和。 13.温度升高时,晶体管的共设输入特性曲线将,输出特性曲线将,而且输出特性曲线之间的间隔将。 1-2设硅稳压管D z1和D z2的稳定电压分别为5V和10V,求图1-2中各电路的输出电压U0,已知稳压管的正向压降为0.7V。

D Z1D Z225V U O 1k Ω ( ) b D Z1D Z225V U O 1k Ω( ) c ( ) d ( )a D Z1D Z225V U O 1k Ω D Z1D Z225V U O 1k Ω 图1-2 1-3 分别画出图1-3所示电路的直流通路与交流通路。 ( )a ( )b ( ) c 图1-3

哈工大 电工大作业

电子技术课程设计一评分:数字显示电子钟 班级: 学号: 姓名: 日期:2015年月日 一、题目:数字显示电子钟 二、设计要求:

1) LED数码管显示小时、分、秒; 2)可以快速校准小时、分;秒计时可以校零; 3)最大显示为23小时59分59秒; 4)秒脉冲信号由1MHz信号经分频器产生; 三、电气原理图 上图为时钟电路总图,电路由秒时钟信号发生器、计时电路和校时电路构成。1)时钟信号发生器部分如下图所示;output端输出1Hz脉冲信号,其为上图中方波脉冲发生电路; 2)计时电路中采用两个60进制计数器分别完成秒计时和分计时;24进制计数器完成时计时;用数码管显示时间的译码结果; 3)校时电路采用开关控制秒时钟信号为校时脉冲以完成校时。

四、各功能块的原理说明 1)秒计时器及秒计时校零部分 由一个十进制计数器和一个六进制计数器串联而成为六十进制计数器。在电路设计中采用是74LS161反馈预置法来实现十进制与六进制功能。 图片底部按钮为秒计时校零按钮,按下按钮时,通过与门将LOAD 端置零实现秒计时器的置零

2)分、时计时器及校准部分 时计时器是由两片74LS161级联而成的二十四进制的计数器,分计时器是由两片74LS161级联而成的六十进制的计数器,采用的是反馈复位法。图片中两个计时器下部为时、分校准按钮,按动按钮相当于提供手动的脉冲,通过按动按钮,实现两个计数器的示数的改变,进而实现时间的校准。 3)秒时钟信号发生器 如图为秒脉冲信号发生器,由分频器6次分频1MHz信号产生秒脉冲,每次1/10分频,电路左上角为1MHz信号输入,output为1Hz信号输出

哈工大电子技术实验四人无弃权表决电路(高分版)

姓名XXX 班级1108301 学号11108301xx 实验日期 6.5 节次9-11 教师签字成绩 四人无弃权表决电路 1.实验目的 1)掌握74LS20的逻辑功能和使用方法; 2)通过实验,进一步熟悉组合逻辑电路的分析与设计方法。 2.总体设计方案或技术路线 设计一个四人无弃权表决电路(多数赞成则提议通过,即三人以上包括三人),用74LS20来实现。 1)根据任务的要求,设计电路; 2)用代数化简法求出最简的逻辑表达式; 3)根据表达式,画出逻辑电路图,用标准器件(与、或、非)构成电路; 4)最后,用实验来验证设计的正确性。 3.实验电路图 1)ABCD输入端,接数据开关;Z输出端接电平指示器; 2)改变ABCD的组态,记录Z的变化,验证逻辑函数的功能及设计的正确性。 4. 仪器设备名称、型号 1)实验箱 1台 2)双踪示波器 1台 3)双路直流稳压电源 1台 4)数字万用表 1只 5)74LS20 3片

5.理论分析或仿真分析结果 74LS20管脚图: 逻辑关系式: C AB D Z=ABC+BCD+ACD+ABD=AB BCDACD 逻辑图:

6.详细实验步骤及实验结果数据记录(包括各仪器、仪表量程及内阻的记录)真值表:

7.实验结论 由真值表可知,四人无弃权表决电路设计成功,实现了预期功能。 8.实验中出现的问题及解决对策 实验过程中由于有五个与门,而每个74LS20可实现两个与门,故线路连起来相当复杂,容易混淆,故在连接电路时安排好位置,标记好引脚和接头。 9.本次实验的收获和体会、对电路实验室的意见或建议 此次设计是对经典四人表决电路的一次创新,利用书本上的知识和以前类似实验的设计思路进行了此次实验,锻炼了实践能力,熟悉了组合逻辑电路的设计方法。 这次的实验绝对原创的,是对以前做过的实验的一次创新,复杂了不少,锻炼了能力。 10.参考文献 [1]电工学实验教程/王宇红主编.——北京:机械工业出版社,2009.8(2012.1重印)

哈工大DSP大作业

DSP-F2812的最小系统设计 姓名 学号 班级 时间

一、设计目的: TMS320F2812DSP是TI公司一款用于控制的高性能、多功能、高性价比的32位定点DSP。它整合了DSP和微控制器的最佳特性,集成了事件管理器,A/D转换模块、SCI通信接口、SPI外设接口、eCAN 总线通信模块、看门狗电路、通用数字I/O口、多通道缓冲串口、外部中断接口等多个功能模块,为功能复杂的控制系统设计提供了方便,同时由于其性价比高,越来越多地被应用于数字马达控制、工业自动化、电力转换系统、医疗器械及通信设备中。 通过本课程的学习,我对DSP的各个模块有了较为深入的了解,希望可以通过对最小系统的设计,进一步加深对DSP的学习,能在实践中运用DSP,提高自己的动手实践能力。 二、设计思路 所谓最小系统就是由主控芯片加上一些电容、电阻等外围器件构成,其能够独立运行,实现最基本的功能。为了验证DSP的最基本的功能,我设计了如下单元:有源电路的设计、复位电路及JATG下载口电路的设计、外扩RAM的设计、串口电路的设计、外扩A/D模块电路的设计。 三、详细设计步骤和原理 1、电源电路的设计 TMS320F2812工作时所要求的电压分为两部分:3.3V的Flash电压和1.8V的内核电压。TMS320F2812对电源很敏感,所以在此推荐

选择电压精度较高的电源芯片TPS767D318。TPS767D318芯片输入电压为+5V,芯片起振,正常工作之后,能够产生3.3V和1.8V两种电压电压供DSP使用。如下图所示: 2、复位电路及JATG下载口电路的设计 考虑到TPS767D301芯片自身能够产生复位信号,此复位信号可以直接供DSP芯片使用,所以不用为DSP设置专门的复位芯片。 在实际设计过程中,考虑到JATG下载口的抗干扰性,在与DSP 相连接的接口均需要采用上拉设计。

精编【电子行业】哈理工电子技术数字部分

【电子行业】哈理工电子技术数字部分

xxxx年xx月xx日 xxxxxxxx集团企业有限公司Please enter your company's name and contentv

数制和码制、逻辑代数基础 教学内容: 0、概述(1)逻辑代数(2)二进制表示法(3)二进制代码 1、基本概念、公式和定理(1)基本和常用逻辑运算(2)公式和定理 2、逻辑函数的化简方法(1)标准式和最简式(2)公式化简法(3)图形化 简法(4)具有约束的函数的化简 3、逻辑函数的表示方法及其相互转换(1)几种表示方法(2)几种表示法 的相互转换 重点难点: 逻辑代数的公式、定理及应用 逻辑函数各种表示方法及其相互转换 逻辑函数的化简(包括具有约束的函数)

教学要求: 掌握逻辑函数四种表示方法,能熟练地相互转换,会根据输入画输出波形; 掌握逻辑函数俩种化简方法,正确理解约束条件,且能在化简中熟练运用。 一、单项选择题 1、数字电路中的工作信号为( )。 (a) 随时间连续变化的电信号(b) 脉冲信号(c) 直流信号 2、AB+CD的“和非”逻辑式为( )。 (a) (b) (c) 3、图示逻辑电路的逻辑式为( )。 (a) B+A(b) AB+ (c) +AB (d) AB

4、下列逻辑符号中,能实现逻辑功能的是( )。 5、逻辑图和输入A,B的波形如图所示,分析当输出F为“0”的时刻应是( )。 (a) t1(b) t2(c) t3 6、逻辑式BC+ABC+C,化简后为( )。 (a) B+C (b) C+AB (c) C+BC 7、逻辑符号如图所示,表示“和”门的是( ) 。

哈工大电力电子 2014作业3章

黑龙江省精品课程 电力电子技术基础 作业(3章) 2014年 2月

第3章整流电路习题 第1部分:简答题 1.什么是半波整流器?什么是全波整流器?举例说明其拓扑结构有什么不同? 2. 针对晶闸管变流器,给出下列名词的定义:自然换流点,触发延迟角,导通角和移相控制范围。 3.什么是变流器的相位控制方式? 4.什么是有源逆变?简述有源逆变产生的条件,并比较晶闸管变流器整流工作模式与逆变工作模式的差别。 5.逆变角是如何定义的?简述当晶闸管变流器工作于逆变状态时,应如何限制逆变角才能保证正常换流?简述逆变失败的原因及逆变失败所产生的后果。 6.晶闸管三相桥式变流电路,在设计触发电路时,为什么要采用“双窄脉冲”触发方式?晶闸管单相桥式变流电路,是否也需要采用这种双窄脉冲触发方式,为什么? 7.为什么随着触发角α的增加,晶闸管整流器的功率因数会变降低? 8.二极管桥式整流电路,负载侧并联大电容时,为什么在启动时会产生突入电流,突入电流有何危害,如何抑制突入电流? 第2部分:画图及计算题 1.当要求设备即可以在115V,又可以在230V交流输入电压下工作时,可采用如图3-1所 示倍压整流电路为设备提供直流电源。当输入电压为230V时,电压选择开关断开;当输入电压为115V时,电压选择开关闭合。试说明在这两种情况下整流输出电压是相同的。 图 3-1

2. 图3-2所示单相桥式半控整流电路(半控指将变流器中的一半晶闸管换成二极管,所以只有一半器件是可控的),大电感负载(近似认为负载电流恒定为Id ),回答下列问题: 1)画出在α=0o,α=90o时直流输出电压vd ,电源电流is ,S1中电流is1,D1中电流iD1的波形(规定:器件的正向导电方向为电流的正方向)。 2)推导直流输出电压Vd 的解析表达式(即Vd 与相电压有效值Vs,触发角α的关系表达式)。 3)说明该电路能否工作于有源逆变状态。试说明单相桥式半控整流电路与全控变流电路(全部器件都是晶闸管)相比,有那些优缺点? 图3-2 3. 单相桥式晶闸管变流电路如图3-3所示,交流电源电压有效值Vs=100V ,负载中rd =2Ω,Ld 值极大,反电势Ed=60V ,假定Ls =0。回答下列问题: 1)计算使晶闸管能触发导通的最小触发角; 2)当 时,求整流输出平均电压Vd 、平均电流Id ,输入电流有效值Is ,输入电流畸 变率THD ,功率因数PF 。 提示: 图3- 3 ) ]

哈工大电工技术 试题

哈尔滨工业大(威海) 2003 /2004 学年 秋 季学期 电工技术 试题(A)  题号 一 二 三 四 五 六 七 八 九 十 总分 附加题 分数 一、选择与填空( 20分 ,1-7每题 2分,8题每空2分) 1.图(1)所示电路中,a 、b 间的等效电阻为(4?)。   (1) (2)  2.试计算图(2)所示电路中的 A点的电位为(5V)。 3.将下图所示电路的ab 二端网络化成戴维南等效电路。 4.三相异步电动机的额定转速为 1460r/min 。当负载转矩为额定转矩的一 半时,电动机的转速为(1480r/min )。 姓名 班级: 注 意 行 为 规 范 遵 守 考 试 纪 律 10V I +-a b 0.5 I 1k Ω 1k Ω

5.电路如图所示,已知X L =R=X C ,并已知安培计A 的读数为5A ,则A 1的读数为(52A ),A 2的读数为(5A )。 6.有一交流铁心线圈,线圈匝数加倍,线圈的电阻及电源电压保持不变。铁心的磁感应强度将(增大、减小、不变),线圈中的电流将(增大、减 小 、不变) 7.将R L =8Ω的扬声器接在输出变压器的副绕组上,已知N1=300匝,N2=100匝,信号源电动势E=6V,内阻R0=100Ω,扬声器得到的功率为 (0.0876W)。 8.三相异步电动机的额定转速为1470转/分,电源电压为380V,三角形联接,功率为30kW ,效率为93%,功率因数为0.85。试计算额定转矩为(194.90N m ?);额定转差率为( 2% );额定电流为( 57.66A )。 二、图中N为无源电阻网络,已知当US=10V,IS=0时,UX=10V;当US=0,IS=1A时,UX=20V。求当US=20V,IS=3A时,UX为多少。(7分)  解: 由线性电路的叠加定理得: a s U + b s I = c x V 当V V s 10=时 V U I s s 10,0== 即 10a=10c + - U s + - U x

哈尔滨工业大学远程教育学院 电力电子技术-模拟试题5-试卷

哈尔滨工业大学远程教育学院 电力电子技术模拟试题5(开卷,时间:120分钟) (所有答案必须写在答题纸上) 一、填空题(42分,每空1分) 1.IGBT导通的条件是:且。 2. IGBT的输出特性分为三个区域,分别是:。IGBT的开关过程,是在区和区之间切换。 3.IGCT由和两类器件结合而成的复合器件,目前正在与IGBT等新型器件激烈竞争,试图最终取代在大功率场合的位置。 4.将多个电力电子器件封装在一个模块中,称为。 5.与单管器件相比,功率模块的优点是:、。 6.功率集成电路将功率器件与等信息电子电路制作在同一芯片上。 7.功率集成电路实现了和的集成,成为机电一体化的理想接口。 8.按照载流子参与导电的情况,,可将电力电子器件分为: 、和三类。 9.斩波电路用于拖动直流电动机时,降压斩波电路能使电动机工作于第 象限,升压斩波电路能使电动机工作于第象限,斩波电路能使电动机工作于第1和第2象限。 10.桥式可逆斩波电路用于拖动直流电动机时,可使电动机工作于第 象限。 11.复合斩波电路中,电流可逆斩波电路可看作一个斩波电路和一个 斩波电路的组合;多相多重斩波电路中,3相3重斩波电路相当于3 个斩波电路并联。 12.T型双极式可逆斩波电路需要电源供电,功率管承受的反向电压是电源电压的倍。 13.一个开关周期内,双极式桥式可逆斩波电路所输出的负载电压极 性,故称双极式;单极式桥式可逆斩波电路所输出的负载电压极 性,故称单极式。 14.把直流电变成交流电的电路称为,当交流侧有电源时称为,当交流侧无电源时称为。

15.电流从一个支路向另一个支路转移的过程称为换流,从大的方面,换流可以分为两类,即外部换流和,进一步划分,前者又包括两种换流方式,后者包括两种换流方式。适用于全控型器件的换流方式 是。 16.逆变电路可以根据直流侧电源性质不同分类,当直流侧是电压源时,称此电路为,当直流侧为电流源时,称此电路为。17.半桥逆变电路输出交流电压的幅值Um为,全桥逆变电路输出交流电压的幅值Um为。 18.单相全桥方波型逆变电路,180度导电角的控制方式下,改变输出交流电压的有效值只能通过改变来实现,改变可改变输出交流电频率。为防止同一桥臂的上下两个开关器件同时导通而引起直流侧电源短路,在开关控制上应采取的措施。 二、简答题(18分,每题2分) 1.电力电子器件是如何定义和分类的?同处理信息的电子器件相比,它的特点是什么? 2.使晶闸管导通的条件是什么? 3.维持晶闸管导通的条件是什么?怎样才能使晶闸管由导通变为关断? 4.什么是异步调制?什么是同步调制?两者各有何特点?分段同步调制有什么优点? 5.什么是SPWM波形的规则化采样法?和自然采样法比规则采样法有什么优点? 6.交流调压电路和交流调功电路有什么区别?二者各运用于什么样的负载?为什么? 7.单相交流调压电路带电阻负载和带阻感负载时所产生的谐波有何异同? 8.斩控式交流调压电路带电阻负载时输入输出有何特性? 9.什么是组合变流电路?

哈工大数字逻辑大作业

汽车尾灯控制器 信安一班 1150320101-孙晨1150810613-李秋豪1152210121-路祥鹏 (按笔画顺序排列) 注:任何人可以自由的复制、修改、分发本文。但是如果您的版本中含有附录的参考图片:1.在用于非商业、非盈利、非广告性目的时需注明作者及出处“百度百科”。2.在用于商业、盈利、广告性目的时需征得作者同意,并注明作者姓名、授权范围及出处“百度百科”。GMT+8 2016-12-07 20:20

一、目录 设计要求------------------------------------------------------------- 3 工作原理,系统方框图----------------------------------------------- 3 各部分选定方案及说明----------------------------------------------- 5 总体设计图与仿真结果----------------------------------------------- 9 设计心得与总结------------------------------------------------------ 17 参考文献------------------------------------------------------------- 17 附录:总体器件表及其功能表、管脚分布----------------------------- 18

二、设计要求 设计一个汽车尾灯控制器。汽车尾部左右两侧各有3个指示灯。根据汽车运行情况,指示灯有4+2种显示模式: (1)汽车正向行驶,所有指示灯全部熄灭。 (2)汽车右转弯,右侧的三个指示灯按右循环模式顺序点亮。 (3)汽车左转弯,左侧的三个指示灯按左循环模式顺序点亮。 (4)临时刹车,左右两侧的指示灯同时处于闪烁状态。 (5)倒车状态,右侧的三个指示灯按右循环模式顺序点亮,同时左侧的三个指示灯按左循环模式顺序点亮。 (6)故障状态,所有灯全亮且不闪烁。 三、工作原理,系统方框图 大致原理:(详细介绍见第四部分) 该器件有六种状态,所以应该使用三个开关状态L1、L2、L3来表达。 对于正常行驶状态,灯全灭,即对所有的灯给低电平。 对于汽车右转弯,右侧的三个指示灯按右循环模式顺序点亮,可以采取三进制计数器(十进制改造)+三八译码器来实现,其中三进制计数器的输出作为译码器的输入,译码器的输出决定三个右侧灯的亮暗。 对于汽车左转弯,原理同汽车右转弯的实现方法。 对于临时刹车,可以使用CP信号直接决定六个灯的亮暗,达到闪烁的目的。 对于故障状态,对所有的灯置高电平。

哈工大自动控制原理 大作业

自动控制原理 大作业 (设计任务书) 姓名: 院系: 班级: 学号:

5、 参考图 5 所示的系统。试设计一个滞后-超前校正装置,使得稳态速度误差常数为20 秒-1,相位裕度为60度,幅值裕度不小于8 分贝。利用MATLAB 画出 已校正系统的单位阶跃与单位斜坡响应曲线。 + 一.人工设计过程 1、计算数据确定校正装置传递函数 为满足设计要求,这里将超前滞后装置的形式选为 ) 1)(()1)(1()(2 12 1T s T s T s T s K s G c c ββ++++ = 于就是,校正后系统的开环传递函数为)()(s G s G c 。这样就有 )5)(1()(lim )()(lim 00++==→→s s s K s sG s G s sG K c c s c s v 205 ==c K 所以 100=c K 这里我们令100=K ,1=c K ,则为校正系统开环传函) 5)(1(100 )(++=s s s s G 首先绘制未校正系统的Bode 图 由图1可知,增益已调整但尚校正的系统的相角裕度为? 23.6504-,这表明系统就是不稳定的。超前滞后校正装置设计的下一步就是选择一个新的增益穿越频率。由)(ωj G 的相角曲线可知,相角穿越频率为2rad/s,将新的增益穿越频率仍选为2rad/s,但要求2=ωrad/s 处的超前相角为? 60。单个超前滞后装置能够轻易提供这一超前角。 一旦选定增益频率为2rad/s,就可以确定超前滞后校正装置中的相角滞后部分的转角频率。将转角频率2/1T =ω选得低于新的增益穿越频率1个十倍频程,即选择2.0=ωrad/s 。要获得另一个转角频率)/(12T βω=,需要知道β的数值,

哈工大《集成电子技术》习题答案

第九章 集 成 触 发 器 9--1 R d S d Q Q 不定 9--2 (1 CP=1时如下表)(2) 特性方程Q n+1=D (3)该电路为锁存器(时钟型D 触发器)。CP=0时,不接收D 的数据;CP=1时,把数据锁存。(但该电路有空翻) 9--3 (1)、C=0时该电路属于组合电路;C=1时是时序电路。 (2)、C=0时Q=A B +; C=1时Q n+1=B Q BQ n n += (3)、输出Q 的波形如下图。 A B C Q 9--4 CP D Q 1Q 2 9--5 D Q Q CP T 图9.5

Q1CP Q2Q3Q4 Q 1 n 1+=1 Q 2n 1+=Q 2n Q n 13+=Q n 3 Q Q 4n 14n += 9--7 1、CP 作用下的输出Q 1 Q 2和Z 的波形如下图; 2、Z 对CP 三分频。 D Q Q CP Q1 D Q Q Q2 Z Rd CP Q1Q2 Z 1 9--8 由Q D J Q KQ J Q KQ n 1n n n n +==+=?得D 触发器转换为J-K 触发器的逻辑图如下面的左图;而将J-K 触发器转换为D 触发器的逻辑图如下面的右图 CP D Q Q J K Q D Q Q J K CP 9--9 CP B C A 9--10 CP X Q1Q2Z

Q Q D Rd CP R C 5V CP u c u c Q 1.4V 9--12 1、石英晶体的作用是稳定频率,因为石英晶体选频特性非常好。 2、振荡器的输出频率是1MH Z 3、控制信号C=0是停振。 9--13图9.13(a)为由555定时器和D 触发器构成的电路,请问: 1、555定时器构成多谐振荡器 2、u c, u o 1, u o 2的波形 u c u o 1u o 2 t t t 1.67V 3.33V 3、u o 1的频率f 1= 1 074501316.. H z ??≈ u o 2的频率f 2=158H z 4、如果在555定时器的第5脚接入4V 的电压源,则u o 1的频率变为 1 113001071501232.... H z ??+??≈ 9—14 (a)是由555定时器构成的单稳态触发电路。 1、工作原理(略); 2、暂稳态维持时间t w =1.1RC=10ms(C 改为1μF); 3、u c 和u o 的波形如下图:

相关主题
文本预览
相关文档 最新文档