当前位置:文档之家› 基于FPGA的交通灯控制器设计-毕业设计论文

基于FPGA的交通灯控制器设计-毕业设计论文

基于FPGA的交通灯控制器设计-毕业设计论文
基于FPGA的交通灯控制器设计-毕业设计论文

基于FPGA的交通灯控制器设计

摘要

超高速硬件描述语言VHDL,是对数字系统进行抽象的行为与功能描述到具体的内部线路结构描述,利用EDA工具可以在电子设计的各个阶段、各个层系进行计算机模拟验证,保证设计过程的正确性,可大大降低设计成本,缩短设计周期。本文介绍的数字秒表设计,利用基于VHDL的EDA设计工具,采用大规模可编程逻辑器件FPGA,通过设计芯片来实现系统功能。

交通灯控制系统可以实现路口红绿灯的自动控制。基于FPGA设计的交通灯控制系统具有电路简单、可靠性强、实时快速擦写、运算速度高、故障率低、可靠性高,而且体积小的特点。本设计采用Altera公司Cyclone系列的EPlC3T1444C8芯片,在Quartus II软件平台上使用VHDL语言,采用自顶向下的设计方法对系统进行了模块化设计和综合,并进行了仿真。该系统可实现十字路口红绿灯及左转弯控制和倒计时显示,仿真结果结果表明系统能够自动控制交通灯转变。

关键词:VHDL,交通灯,EDA

THE LIGHT CONTROLLER BASED ON FPGA DESIGN

ABSTRACT

With the development of the economy, microelectronic technology, computer technology and the automatic theory are developed rapidly. Its application is becoming more and more widely. But the traffic light as an important traffic,it also has developed quickly with the improving requirement of the people.On all the system of the traffic light,The system of traffic control which designed based on FPGA is received by more and more people.

The system of traffic control can realize the automatic control of traffic light in a intersection. The system of traffic control which designed based on FPGA has many characteristics such as simple circuit, reliable operation, toerase function quickly with real-time applications, high speed, high reliability, low failure rate, and small volume. By using the platform of software design system Quartus II and the form of the VHDL language, we simulate the system by using EPlC3T1444C8 Mars device and the result show that the download system can automatically control the traffic lights.

KEY WORDS: VHDL,traffic light,EDA

目录

前言 (1)

第1章FPGA概述 (2)

1.1 FPGA的简介 (2)

1.2 FPGA的应用 (2)

第2章VHDL硬件描述语言 (3)

2.1 VHDL程序基本结构 (3)

2.1.1 实体 (3)

2.1.2 结构体 (4)

2.1.3 库 (4)

2.2 VHDL语言 (4)

2.2.1 VHDL文字规则 (4)

2.2.2 VHDL数据对象 (4)

2.2.3 VHDL数据类型 (5)

2.2.4 VHDL 顺序语句 (5)

2.2.5 VHDL并行语句 (5)

第3章系统设计与仿真 (6)

3.1 系统介绍 (6)

3.1.1 设计任务 (6)

3.1.2 设计要求 (6)

3.2 系统设计仿真 (7)

3.2.1 顶层框图的设计 (7)

3.2.2 时序状态图的设计 (7)

3.2.3 工程设计流程框图: (8)

3.2.4 芯片的选择 (8)

3.2.5 各个模块的设计与仿真 (8)

结论 (23)

谢辞 (24)

参考文献 (25)

附录 (26)

外文资料翻译 (28)

前言

当今社会是数字化的社会,是数字集成电路广泛应用的社会。数字集成电路本身在不断进行更新换代,随着微电子技术的发展,设计与制造集成电路的任务已不完全由半导体厂商来独立承担。系统设计师更愿意自己设计专业集成电路(ASIC)芯片,而且希望设计周期尽可能短,最好在实验室里就能设计出合适的ASIC芯片,并且立即投入实际应用之中,因而出现了现场可编程器件(FPLD)。现场可编程门阵列(FPGA)即属其中应用最广泛的一种。

随着电子技术的发展,特别是大规模集成电路和计算机技术的研制和发展,让电子产品设计有了更好的应用市场,实现方法也有了更多的选择,而电子电路的设计却变得越来越复杂,使用“语言”进行电子设计已成为一种趋势。现代电子系统设计方法是设计师自己设计芯片来实现电子系统的功能,将传统的固件选用及电路板设计工作放在芯片设计中进行。在这些专业化软件中,EDA(Electronic Design Automation)具有一定的代表性,EDA技术是一种基于芯片的现代电子系统设计方法。基于EDA技术的现场可编程门阵列(FPGA)电(ASIC) ,在数字系统设计和控制电路中越来越受到重视。VHDL语言是电子设计的主流硬件描述语言,它更适合进行行为描述,这种方式使得设计者专注于电路功能的设计,而不必过多地考虑具体的硬件结构。基于EDA技术的现场可编程门阵列(FPGA)电路,提出现场可编程门阵列(FPGA)是近年来迅速发展的大规模可编程专用集成电路(ASIC),在数字系统设计和控制电路中越来越受到重视。VHDL语言是电子设计的主流硬件描述语言,它更适合进行行为描述,这种方式使得设计者专注于电路功能的设计,而不必过多地考虑具体的硬件结构。

第1章FPGA概述

1.1 FPGA的简介

FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在可编程器件的基础上进一步发展的产物。它是作为专用集成电路领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。

目前以硬件描述语言所完成的电路设计,可以经过简单的综合与布局,快速的烧录至 FPGA 上进行测试,是现代 IC 设计验证的技术主流。

1.2 FPGA的应用

FPGA的应用可分为三个层面:电路设计,产品设计,系统设计。

1.电路设计

连接逻辑,控制逻辑是FPGA早期发挥作用比较大的领域也是FPGA应用的基石。事实上在电路设计中应用FPGA要求开发者要具备相应的硬件知识(电路知识)和软件应用能力(开发工具)。

2.产品设计

把相对成熟的技术应用到某些特定领域开发出满足行业需要并能被行业客户接受的产品。这方面主要是FPGA技术和专业技术的结合问题,重点在性能, FPGA技术在这个领域是一个实现手段,FPGA因为具备接口,控制,功能IP,内嵌CPU等特点有条件实现一个构造简单,固化程度高,功能全面的系统产品设计。

3.系统级应用

系统级的应用是FPGA与传统的计算机技术结合,实现一种FPGA版的计算机系统如用Xilinx V-4, V-5系列的FPGA,实现内嵌POWER PC CPU, 然后再配合各种外围功能,这个平台上跑LINIX等系统这个系统也就支持各种标准外设和功能接口了,这对于快速构成FPGA大型系统来讲是很有帮助的。

第2章VHDL硬件描述语言

2.1 VHDL程序基本结构

一个相对完整的VHDL程序通常包含实体、结构体、配置、程序包和库5个部分。程序模板如下:

LIBRARY library name;

USE library name.package name.all;

ENTITY entity name IS

GENERIC

( parameter name :string:=default value;

parameter name :integer:=default value);

PORT

( input name,input name: IN STD-LOGIC;

Input vector name:IN STD_LOGIC_VECTOR( high DOWNTO low);

output name ,output name:OUT STD_LOGIC);

END entity name;

ARCHITECTURE a OF entity name IS

SIGNAL signal name:STD_LOGIC;

BEGIN

--process statement (optional)

:

--generate statement (optional)

END a;

2.1.1 实体

实体一般用来描述所设计的系统的外部接口信号,是可视部分;其中最重要的部分是端口说明。端口说明语句是对于一个设计实体界面的说明。端口名是赋予每个系统引脚的名称。一个实体通常有一个或多个端口,端口类似于原理图部

件符合上的管脚。实体与外界交流的信息必须通过端口通道流入或流出。IEEE 1064标准包中定义了四种端口模式,其功能及符号分别是IN、OUT、INOUT、BUFFER、LINKAGE。

2.1.2 结构体

结构体用于描述系统内部的结构和行为,建立输入输出之间的关系。在一个实体中,可以含有一个或一个以上的结构体,而在每一个结构体中又可以含有一个或多个进程以及其他的语句。其中,实体名必须是被设计的实体的名字。结构体中的说明语句是对结构体的功能描述语句中将要用到的信号、数据类型、常数、元件、函数和过程等加以说明的语句。结构体包含两类语句:并行语句和顺序语句。在PROCESS中的语句是顺序执行的,当PROCESS所带的敏感信号发生变化时,PROCESS中的语句就会执行一遍。

2.1.3 库

库使用说明用于打开(调用)本设计实体将要用到的库,库是专门存放预编译程序包的地方。IEEE库:在IEEE库中有一个STD_LOGIC的包,它是IEEE正式认可的包。STD库:STD库是VHDL的标准库,在库中有名为STANDARD的包。在使用库之前,一定要进行库说明,库的说明总是放在设计单元的前面。

2.2 VHDL语言

2.2.1 VHDL文字规则

VHDL文字主要包括数值和标识符。数值型文字主要有数字型、字符串型和位串型。数字型文字有:整数文字(十进制数)、实数文字(十进制数,必须带有小数点)、以数字基数表示的文字。字符串型文字有:文字字符串、数位字符串、标识符。

2.2.2 VHDL数据对象

在VHDL中,数据对象类似于一种容器,接受不同数据类型的赋值。数据对象有3种:即常量、信号、变量。常量是固定值,不能在程序中被改变。变量时

一个局部量,是一个临时数据,没有物理意义。它只能在Process和Function中定义,必须在进程和子程序的说明性区域说明,并只在其内部有效。信号是描述硬件系统的基本数据对象,代表连接线,Port也是一种信号。

2.2.3 VHDL数据类型

VHDL是一种强类型语言,要求设计实体中的每一个常数、信号、变量、函数以及设定的各种参量都必须具有确定的数据类型,并且只有相同的数据类型的量才能互相传递和作用。VHDL 的基本类型有:bit(位)、bit-Vector(位矢量)、Boolean、time、character、string、integer 、real。

2.2.4 VHDL 顺序语句

VHDL有如下六类基本顺序语句:赋值语句、流程控制语句、等待语句、子程序调用语句、返回语句、空操作语句。

赋值语句有信号赋值语句和变量赋值语句两种。流程控制语句共有五种:IF 语句、CASE语句、LOOP语句、NEXT语句、EXIT语句。

IF语句根据条件句产生的判断结果:TRUE 或FALSE,有条件地选择执行其后的顺序语句。CASE语句根据满足的条件直接选择多项顺序语句中的一项执行。空操作语句不完成任何操作,它常用于CASE语句中,利用它来表示所余的不用条件下的操作行为——满足所有可能的条件。

2.2.5 VHDL并行语句

结构体中的并行语句主要有七种:并行信号赋值语句、进程语句、块语句、条件信号赋值语句、元件例化语句(其中包括类属配置语句)生成语句、并行过程调用语句。

第3章系统设计与仿真

3.1 系统介绍

交通灯控制器用于自动控制十字路口交通灯和计时器,指挥各种车辆和行人安全通行。

3.1.1 设计任务

设计一个十字路口交通控制器,方向分为东南西北四个方向。东西方向的红绿灯状态一样,南北方向的红绿灯状态一样。每个方向上,有四盏灯,分别是左转灯、红灯、绿灯和黄灯。左拐灯亮表示左转车辆可以通行;红灯亮表示左转和直行车辆禁行;绿灯亮表示直行车辆和右转的车辆可以通行;黄灯亮表示左转和直行的车辆即将禁行;倒计时显示器用来显示允许通行或禁止通行的时间倒计时。

3.1.2 设计要求

在十字路口东西方向和南北方向各设一组左转灯、;显示的顺序为:左转灯绿灯黄灯红灯。

在东西方向和南北方向各设一组倒计时显示器。倒计时只显示对应方向上的红灯倒计时和绿灯倒计时。其余的状态不显示倒计时。左转灯、红灯、绿灯和黄灯亮的时间分别是15秒、80秒、45秒、5秒。状态表如表3-1所示:

表3-1 交通灯状态转换表

状态S0 S1 S2 S3 S4 S5

东西方向红红红

左转

绿黄

亮灯15 45 5 15 45 5

南北方向

左转

绿黄红红红

亮灯15 45 5 15 45 5

3.2 系统设计仿真

对于交通灯控制器,可基于Quartus II 软件,采用层次化混合输入方式进行设计,即顶层采用原理图设计,底层采用VHDL语言设计。

3.2.1 顶层框图的设计

顶层原理图设计可以依据系统框图进行,有分频模块、控制模块、倒计时模块、红绿灯显示模块、译码模块、译码显示模块6六部分组成,如图3-1 所示:

图3-1 顶层原理图系统框图

3.2.2 时序状态图的设计

图3-2 交通灯时序状态图

3.2.3 工程设计流程框图:

新建工程

新建原理图文件

或VHDL文件

输入程序或

编辑原理图

编译,若有错,修

改程序,直至无错

建立波形文件,

导入管脚

编译波形文件,查看

仿真结果,保存

图3-3 工程设计流程框图

3.2.4 芯片的选择

在这次毕业设计中,我们选用的FPGA芯片是Altera公司的Cyclone(飓风)系列的芯片,型号为:EP1C3T144C8。它是基于1.5V的,采用0.13um全铜SRAM 工艺、TQFP封装,有5980个逻辑单元,2个锁相环,20个M4K RAM块,其中每个RAM为4kbit,可以另加一位奇偶校验位。

3.2.5 各个模块的设计与仿真

1. 模块设计

该模块可将频率为1KHZ的脉冲波,经过分频变为频率为1HZ的脉冲波,这样我们就得到了周期为1S的脉冲波。分频器程序清单如下:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity fenpinqi is

port ( clk1khz:in std_logic;

clk1hz:out std_logic);

end fenpinqi;

architecture a of fenpinqi is

begin

process( clk1khz )

variable q: integer:=0 ;

variable r:std_logic:='0';

begin

if clk1khz'event and clk1khz='1' then

if q=512 then r:=not r; q:=0;

else q:=q+1;

end if;

clk1hz<=r;

end if;

end process;

end a;

分频器程序仿真结果如图3-4所示:

图3-4 分频器程序仿真结果图

在分频器程序仿真结果图中,clk1khz 为输入脉冲信号,频率为1khz;clk1hz 为输出脉冲信号,频率为1hz。从图中,我们可以看出clk1hz的周期为1s。这表明程序的确把1khz的信号分频成1hz的信号。

2. 模块设计

control模块可根据clk的脉冲信号输出S的值,下游模块根据S的值来决定红绿灯的状态。同时对倒计时的信号赋初值。control模块程序清单:library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity control is

port( clk:in std_logic;

s:out std_logic_vector(2 downto 0);

loadat,loadbt:out std_logic;

at,bt:out integer range 0 to 80);

end control;

architecture a of control is

signal t:integer;

begin

process(clk)

begin

if clk'event and clk='1' then

loadat<='0';loadbt<='0';

if t=0 then s<="000"; at<=80; loadat<='1';

elsif t=15 then s<="001"; bt<=45; loadbt<='1';

elsif t=60 then s<="010";

elsif t=65 then s<="011"; bt<=80; loadbt<='1';

elsif t=80 then s<="100"; at<=45; loadat<='1';

elsif t=125 then s<="101";

end if;

t<=t+1;

end if;

if t=130 then t<=0; end if;

end process;

end a;

control模块程序仿真结果如图3-5所示:

图3-5 control模块程序仿真结果图

在control模块程序仿真结果图中,只有一个输入信号clk,它是一个频率为1hz的时钟脉冲信号,输出信号有3个分别是s、at、bt。程序中,通过变量t的值来确定输出信号s的值,并由此确定将要赋得倒计时的初值,然后赋给at,bt。在每次给at,bt赋值时,都会使另一个输出信号loadat,loadbt变成高电平,从而可以触发下一模块的装载。

3. daojishi模块设计

该模块用来接收control模块的输出作为初值,并进行减一操作,最后把减一得结果输出。程序里每检测到一个脉冲clk,就进行一次减一操作。atdaojishi模块程序清单:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity atdaojishi is

port ( clk,loadat:in std_logic;

atin:in integer range 0 to 80;

atout:out integer range 0 to 80);

end atdaojishi;

architecture a of atdaojishi is

signal countat:integer range 0 to 80;

begin

process

begin

wait until rising_edge(clk);

if loadat='1' then countat<=atin;

else countat<=countat-1;

end if;

end process;

atout<=countat;

end a;

atdaojishi模块程序仿真结果如图3-6所示:

图3-6 atdaojishi模块程序仿真结果图

在atdaojishi模块程序仿真结果图中,clk为1hz的输入信号,loadat为at 计数器的装载信号,当loadat为高电平时,将输入信号atin的值装载到计数器中,开始执行减一操作,每接受一次clk,执行一次,并将结果输出。

4. daojishi模块设计

该模块用来接收control模块的输出作为初值,并进行减一操作,最后把减一得结果输出。程序里每检测到一个脉冲clk,就进行一次减一操作。btdaojishi模块程序清单:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity btdaojishi is

port ( clk,loadbt:in std_logic;

btin:in integer range 0 to 80;

btout:out integer range 0 to 80);

end btdaojishi;

architecture a of btdaojishi is

signal countbt:integer range 0 to 80;

begin

process

begin

wait until rising_edge(clk);

if loadbt='1' then countbt<=btin;

else countbt<=countbt-1;

end if;

end process;

btout<=countbt;

end a;

btdaojishi模块程序仿真结果如图3-7所示:

图3-7 btdaojishi模块程序仿真结果图

在btdaojishi模块程序仿真结果图中,clk为1hz的输入信号,loadbt为bt 计数器的装载信号,当loadbt为高电平时,将输入信号btin的值装载到计数器中,开始执行减一操作,每接受一次clk,执行一次,并将结果输出。

5. Main模块设计

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity main is

port ( s:in std_logic_vector(2 downto 0);

lrgy:out std_logic_vector(7 downto 0));

end main;

architecture a of main is

begin

process(s)

variable b:std_logic_vector(7 downto 0);

begin

case s is

when "000" => b:="01001100";

when "001" => b:="01000010";

when "010" => b:="01000001";

when "011" => b:="11000100";

when "100" => b:="00100100";

when "101" => b:="00010100";

when others => b:="00000000";

end case;

lrgy<=b;

end process;

end a;

main模块程序仿真结果如图3-8所示:

图3-8 main模块程序仿真结果图

Main模块可根据输入的S信号,输出对应的红绿灯信号。直接改变并驱动红绿灯的亮和灭。

在main模块程序仿真结果图中,S为输入信号,用来表明整个红绿灯的状态(一共6个状态:S0~S5); lrgy为输出信号,代表整个红绿灯的亮和灭的情况,灯的排序从高位到低位排列,方向上是先东西方向,后南北方向。也就是说,序列为:东西方向左转灯、红灯、绿灯、黄灯,然后南北方向左转灯、红灯、绿灯、黄灯。从图中我们可以看出S的状态从“000”到“101”,共六个状态,每一个状态都对应相应的红绿灯亮灭的状态,仿真结果完全正确,符合预期。

6. 码模块设计

译码程序将输入的用来显示的两位倒计时数值,分解成四个整形的数值,以供后面的译码显示模块继续译码显示。译码程序清单如下:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity to8421bcd is

port( at,bt:in integer range 0 to 80;

aout1,aout2,bout1,bout2:out integer range 0 to 9);

end to8421bcd;

architecture a of to8421bcd is

begin

process (at,bt)

begin

if at>=70 then

aout1<=7;

aout2<=at-70;

elsif at>=60 then

aout1<=6;

aout2<=at-60;

elsif at>=50 then

aout1<=5;

aout2<=at-50; elsif at>=40 then aout1<=4;

aout2<=at-40; elsif at>=30 then aout1<=3;

aout2<=at-30; elsif at>=20 then aout1<=2;

aout2<=at-20; elsif at>=10 then aout1<=1;

aout2<=at-10; elsif at>=0 then

aout1<=0;

aout2<=at; end if;

if bt>=70 then

bout1<=7;

bout2<=bt-70; elsif bt>=60 then

bout1<=6;

bout2<=bt-60; elsif bt>=50 then bout1<=5;

bout2<=bt-50; elsif bt>=40 then bout1<=4;

bout2<=bt-40; elsif bt>=30 then

(完整版)基于FPGA的智能交通灯的设计毕业设计

目录 摘要 ............................................................. I 1 前言 (1) 2 交通红绿灯控制电路的发展与技术现状 (2) 2.1 交通控制系统以及交通红绿灯控制电路的发展现状 (2) 2.2 智能交通红绿灯控制电路技术的现状 (3) 3 VHDL、FPGA、Quartus ii简介 (5) 3.1 VHDL简介 (5) 3.1.1 VHDL简介 (5) 3.1.2 VHDL语言的特点 (6) 3.2 FPGA简介 (8) 3.2.1 PLD器件的设计特点 (8) 3.2.2 FPGA的基本结构 (10) 3.2.3 采用FPGA设计逻辑电路的优点 (11) 3.3 Quartus II 的简介 (12) 4 具体方案论证与设计 (13) 4.1 具体方案论证 (13) 4.2系统算法设计 (15) 4.3 具体电路原理图 (16) 4.4 电路仿真图 (16) 5 实验结果 (17) 总结 (18) 参考文献 ......................................... 错误!未定义书签。附录: .. (19)

基于FPGA的十字路口交通信号灯 摘要 本文主要介绍十字路口交通灯控制器的设计。首先,介绍交通控制系统以及交通红绿灯控制电路的发展现状;然后采用硬件描述语言进行的交通灯控制器设计。重点介绍了控制系统各部分的设计,以及各个模块之间的同步处理。为了克服交通信号灯控制系统传统设计方法的弊端,更加适应城镇交通现状,利用VHDL语言、采用层次化混合输入方式,设计了具有3种信号灯和倒计时显示器的交通信号灯控制系统,在 QuartusⅡ下进行仿真,并下载到FPGA中制作成实际的硬件电路进行了模拟运行.使用该方法设计的交通灯控制系统电路简单、运行可靠、易于实现,可实现对交通信号的控制和显示功能。 关键词 FPGA;QUARTUS ii;HDPLD;十字路口交通灯控制器; Based on FPGA intersection traffic lights Abstract This paper describes the design of intersection traffic signal controller.First, the introduction of traffic control systems and traffic light control circuit of the development status; then using language designed for the traffic light controller.Focus on various parts of the control system

基于单片机的交通灯控制系统设计毕业

毕业论文 题目基于单片机的交通灯控制系统设计 姓名 **** 学号 所在系专业年级 指导教师职称 二O一四年五月三十一日

摘要 近年来随着科技的飞速发展,单片机的应用正在不断地走向深入,同时带动传统控制检测日新月益更新。在实时检测和自动控制的单片机应用系统中,单片机往往是作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构,以及针对具体应用对象特点的软件结合,加以完善。十字路口车辆穿梭,行人熙攘,车行车道,人行人道,有条不紊。那么靠什么来实现这井然秩序呢?靠的就是交通信号灯的自动指挥系统。交通信号灯控制方式很多。本系统采用MSC-51系列单片机ATSC51和可编程并行I/O接口芯片8255A为中心器件来设计交通灯控制嚣,实现了能根据实际车流量通过8051芯片的P1口设置红、绿灯燃亮时间的功能;红绿灯循环点亮。倒计时剩5秒时黄灯闪烁警示;车辆闯红灯报警;绿灯时间可检测车流量并可通过双位数码管显示。本系统实用性强、操作简单、扩展功能强。 关键词:单片机;交通灯

Abstract In recent years, with the rapid development of science and technology, SCM applications are constantly go, at the same time drive the traditional control detection nissin month good updates.Crossroads transports, bustling, bike lanes, pedestrian footbridge humanitarian, in an orderly way. So what to do this in order? Rely on is the automatic command system of traffic lights. A lot of traffic signal lamp control way. This system adopts the MSC - 51 series microcontroller ATSC51 and programmable parallel I/O interface chip 8255 a tao, a device for the center to design a traffic light control can realize the according to actual traffic by 8051 chip P1 mouth set function of red and green light burning time; Light traffic light cycle. The countdown with 5 seconds left yellow lights flashing warning; Vehicle running a red light alarm; Green time can detect the number of cars and can through digital tube display. The system practical, simple operation, strong extended functionality. Key words: single chip microcomputer; The traffic light

厦门大学毕业设计(论文)

厦门大学 级毕业设计(论文) (页面设置:论文版心大小为155mm×245mm,页边距:上2.6cm,下2.6cm,左2.5cm,右2cm,行间距20磅,装订线位置左,装订线1cm,) 此处为论文题目,黑体2号字 2~3~qq4~9~5~2~6~3~5 / 2`6248qq0221 以下各项居中列,黑体小四号) 年级: 159dian 2717hua 1195 学号: 姓名: 专业: 指导老师: (填写时间要用中文) 年月

院系专业 年级姓名 题目 指导教师 评语 指导教师 (签章) 评阅人 评语 评阅人 (签章) 成绩 答辩委员会主任 (签章) 年月日 毕业设计任务书 班级学生姓名学号专业 发题日期:年月日完成日期:年月日 题目

题目类型:工程设计技术专题研究理论研究软硬件产品开发 一、设计任务及要求 二、应完成的硬件或软件实验 三、应交出的设计文件及实物(包括设计论文、程序清单或磁盘、实验装置或产品 等) 四、指导教师提供的设计资料 五、要求学生搜集的技术资料(指出搜集资料的技术领域) 六、设计进度安排 第一部分(4 周)第二部分(6 周)第三部分(2 周)

评阅及答辩(1 周) 指导教师:年月日系主任审查意见: 审批人:年月日 注:设计任务书审查合格后,发到学生手上。 ××××大学××××××××学院20XX年制

摘要正文略 关键词:关键词;关键词;关键词;关键词(关键词之间分号隔开,并加一个空格)

Abstract 正文略 Keywords: keyword; keyword; keyword; keyword

fpga毕业设计开题报告.doc

fpga毕业设计开题报告 FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。以下是fpga毕业设计,欢迎阅读。 1选题目的意义和可行性 在这个时间就是金钱的年代里,数字电子钟已成为人们生活中的必需品。目前应用的数字钟不仅可以实现对年、月、日、时、分、秒的数字显示,还能实现对电子钟所在地点的温度显示和智能闹钟功能,广泛应用于车站、医院、机场、码头、厕所等公共场所的时间显示。随着现场可编程门阵列( field program-mable gate array ,FPGA) 的出现,电子系统向集成化、大规模和高速度等方向发展的趋势更加明显,作为可编程的集成度较高的ASIC,可在芯片级实现任意数字逻辑电路,从而可以简化硬件电路,提高系统工作速度,缩短产品研发周期。故利用FPGA这一新的技术手段来研究电子钟有重要的现实意义。设计采用FPGA现场可编程技术,运用自顶向下的设计思想设计电子钟。避免了硬件电路的焊接与调试,而且由于FPGA的I /O 端口丰富,内部逻辑可随意更改,使得数字电子钟的实现较为方便。本课题使用Cyclone EP1C6Q240的FPGA器件,完成实现一个可以计时的数字时钟。该系统具有显示时、分、秒,智能闹钟,按键实现校准时钟,整点报时等功能。满足人们得到精确时间以及时间提醒的需求,方便人们生活。 2 研究的基本内容与拟解决的主要问题 2.1研究的基本内容 数字时钟是采用电子电路实现对时间进行数字显示的计时

装置,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度不断提高。 数字时钟系统的实现有很多,可以利用VerilogDHL语言在Quartus II里实现时、分、秒计数的功能。在芯片内部存储器设24个字节分别存放时钟的时、分、秒信息。数字时钟首先是秒位(共8位)上按照系统时钟CLK进行计数,存储器内相应的秒值加1;若秒位的值达到60(110000),则将其清零,并将相应的分位(共8位)的值加1;若分值达到60(110000),则清零分位,并将时位(共8位)的值加1;若计数满24(100100)后整个系统从0开始重新进行计数。 本设计使用Cyclone EP1C6Q240的FPGA器件为核心,通过编写程序,完成此电子时钟的主要功能显示时,分,秒,以及通过按键实现校准时钟主要功能,使用LED液晶屏显示,分别显示时,分,秒。并且能够实现附加功能----闹铃设置功能和整点报时。 2.2 拟要解决的问题 本设计电子钟系统功能简单,用Cyclone EP1C6Q240的FPGA器件为核心,通过编写程序,完成此电子时钟的主要功能。 本课题主要解决以下问题: (1) 学习VerilogDHL语言、运用Quartus II环境进行程序设计。 用VerilogDHL语言能进行综合的电路设计,也可用于电路的仿真;设计的 规模是任意的,语言不对设计规模施加任何限制;内置各种基本的逻辑门。便于改进和扩充,有利于本系统的研制,并使其性能更完备的。

模拟交通灯 毕业设计

毕业设计 题目模拟交通灯 系别电气工程系 专业电气自动化技术 班级电气0801班 姓名 学号 指导教师 日期 2010年12月

设计任务书 设计题目: 模拟交通灯 设计要求: 1.用单片机组成模拟交通灯系统,设计硬件电路及相应软件。 2.在十字路口的两个方向上各设一组红绿黄灯,显示顺序为:其中一个方向是绿灯、黄灯、红灯,另一个方向是红灯、绿灯、黄灯。 3.设置一组数码管,以倒计时的方式显示允许通过或禁止通行的时间,其中左转灯、绿灯、黄灯、红灯的持续时间分别是15S、30S、3S、48S。 4.当各条路上任意一条出现特殊情况,例如消防车、救护车或其他需要优先放行的车辆时,各方向上均是红灯亮,倒计时停止,且显示数字在闪烁,当特殊运行状态结束后,控制器恢复原来状态,继续正常运行。 设计进度要求: 第一周:确定题目,查阅有关资料; 第二周:查阅资料,收集资料; 第三周:列出设计思路; 第四周:硬件电路的设计; 第五周:软件程序的设计; 第六周:软件程序的仿真与调试; 第七周:打印毕业论文; 第八周:毕业答辩 指导教师(签名):

摘要 设计以单片机为核心部件的模拟交通灯,利用74LS244作为断码驱动器,74LS07作为位码驱动,LED七段数码管作为计时显示用,用发光二极管指示交通的通行,用按键进行紧急事件的发生,使两个方向都亮红灯,绿灯亮通行,红灯亮停止通行。 本设计利用定时器进行定时,使定时器工作于方式一定时50ms,配合软件计数器,调用中断程序使定时器定时20次,达到定时1S的目的,同时调用显示程序,显示到计时的时间,用单片机Intel89S51作为核心部件,8路74LS244总线驱动器作为字形驱动芯片和6路驱动74LS07位选码作为中心器件来设计交通灯控制器,实现了交通灯的控制,显示时间直接通过89S51的P0、P1口输出;交通灯信号通过P3口输出;本交通灯系统简单,实用性强,成本低,使用维护方便,软件功能强,运行稳定可靠等优点。 关键词:单片机,交通灯,位码,段码,显示

智能交通灯的毕业设计

太原科技大学 毕业设计(论文)任务书化学与生物工程学院机电一体化专业10级3班设计人(作者):徐今 同组人:徐今吉武师海斌韩志刚 王煜贺斌兰晓江邢超斌一.毕业设计(论文)题目: 智能交通灯 二.原始数据(材料): (1)单片机LED灯显示设计 (2)用实验室模块演示 (3)软件protus仿真演示 (4)亚龙实验平台

目录 摘要------------------------------------1 AT89C51单片机简介----------------------2 一、设计目的---------------------------4 二、设计目标---------------------------4 三、设计任务---------------------------5 四、设计内容---------------------------6 (1)指示灯燃亮的状态----------------------6(2)设计并绘制硬件电路图。-------------7(3)设计程序流程图---------------------8(4)编程-------------------------------9 五、交通管理方案----------------------10 六、结束语----------------------------11 七、参考文献--------------------------13

摘要 交通在人们生活中占有重要地位,随着人们社会活动的日益频繁,这点更是体现的淋漓尽致。交通信号灯的出现,使交通得以有效管制,对于疏导交通流量,提高道路通行能力,减少交通事故,有明显的效果。近年来,随着科技的飞速发展,单片机的应用不断深入,同时带动传统控制检测技术日益更新和自动控制的单片机应用系统中。单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。 本系统采用单片机AT89C51为中心器件,来设计交通灯控制器系统实用性强,操作简单,扩展性强。本设计就是采用单片机模拟十字路口交通灯的各种状态显示。本设计系统由单片机I/O口扩展系统、交通灯状态显示系统、复位电路等其它部分组成。较好的模拟实现了十字路口可能出现的交通情况。 关键字:电子线路、AT89C51、交通灯

基于FPGA下的交通灯控制器设计

引言 随着城乡的经济发展,车辆的数量在迅速的增加,交通阻塞的问题已经严重影响了人们的出行。 现在的社会是一个数字化程度相当高的社会,很多的系统设计师都愿意把自己的设计设计成集成电路芯片,芯片可以在实际中方便使用。随着EDA技术的发展,嵌入式通用及标准FPGA器件的呼之欲出,片上系统(SOC)已经近在咫尺。FPGA/CPLD 以其不可替代的地位及伴随而来的极具知识经济特征的IP芯片产业的崛起,正越来越受到业内人士的密切关注。FPGA就是在这样的背景下诞生的,它在数字电路中的地位也越来越高,这样迅速的发展源于它的众多特点。交通等是保障交通道路畅通和安全的重要工具,而控制器是交通灯控制的主要部分,它可以通过很多种方式来实现。在这许许多多的方法之中,使用FPGA和VHDL语言设计的交通灯控制器,比起其他的方法显得更加灵活、易于改动,并且它的设计周期性更加短。 城市中的交通事故频繁发生,威胁着人们的生命健康和工作生活,交通阻塞问题在延迟出行时间的同时,还会造成更多的空气污染和噪声污染。在这种情况下,根据每个道路的实际情况来设置交通灯,使道路更加通畅,这对构建和谐畅通的城市交通有着十分重要的意义。

第一章软件介绍 1.1 QuartusⅡ介绍 本次毕业设计是基于FPGA下的设计,FPGA是现场可编程门阵列,FPGA开发工具种类很多、智能化高、功能非常的强大。可编程QuartusⅡ是一个为逻辑器件编程提供编程环境的软件,它能够支持VHDL、Verilog HDL语言的设计。在该软件环境下,设计者可以实现程序的编写、编译、仿真、图形设计、图形的仿真等许许多多的功能。在做交通灯控制器设计时选择的编程语言是VHDL语言。 在这里简单的介绍一下QuartusⅡ的基本部分。图1-1-1是一幅启动界面的图片。在设计前需要对软件进行初步的了解,在图中已经明显的标出了每一部分的名称。 图 1-1-1 启动界面 开始设计前我们需要新建一个工程,首先要在启动界面上的菜单栏中找到File,单击它选择它下拉菜单中的“New Project Wizard”时会出现图1-1-2所显示的对话框,把项目名称按照需要填好后单击Next,便会进入图 1-1-3 显示的界面。

重庆大学本科毕业设计(论文)

重庆大学本科学生毕业设计(论文) 浅析环境心理学在现代商业展示设计中的运用 学生:崔海侠 学号:20070309 指导教师:杨定强 专业:艺术设计(视觉传达方向) 重庆大学艺术学院 二O一一年六月

Graduation Design(Thesis) of Chongqing University Analyses the Environmental Psychology Application of Modern Commercial Display Design Undergraduate: Cui Haixia Supervisor:Yang Dingqiang Major:ArtDesign(visualcommunication direction) College of Arts Chongqing University June 2011

摘要 随着展示设计学科的不断完善,环境心理学在展示设计中的重要作用日益显现,并且成为现代展示设计人性化设计的指导理论之一。是为了了解人在不同展示环境下的心理和行为,科学而艺术地把握展示环境设计中各要素关系,创造符合人们心理需要的展示空间环境。环境心理学在展示设计中的应用,与以往相比,更加强调“以人为本”的设计理念,强调以人的感受作为设计的终极目标。我们研究人在环境中的行为、心理及它们之间的关系和相互作用的目的就在于:了解生活中人们的行为、心理倾向,从而使我们对人环境的关系、对怎样创造展示空间环境,都应具新的更为深刻的认识,使其及时地反馈到我们展示空间的设计中去,合理的组织空间,设计好界面、颜色和光照,创造出功能合理、舒适优美、满足人们物质和精神生活需要的展示环境。 关键词:环境心理学展示设计

基于FPGA的四层电梯控制系统设计毕业设计论文

毕业论文Array 基于FPGA的四层电梯控制系统设计

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

微机原理课设带数码管显示的交通灯模拟控制系统设计

南京工程学院Array课程设计说明书(论文) 题目带数码管显示的交通灯模拟控制系统设计 课程名称微机原理及应用 院系 专业 班级 学生姓名 学号 设计地点 指导教师 设计起止时间:2013年12月23日至2017年12月27日 目录 一、方案说明 (2) 1、硬件设计 (2) 1.1、硬件接线图 (2)

1.2、主机接线说明 (3) 2、软件设计 (3) 2.1、程序流程图 (4) 二.源程序清单 (5) 三、调试现象 (10) 四、心得体会 (12)

一、设计说明 硬件方面:8253产生1HZ的方波,脉冲到8259的IR1端,产生中断,调中断服务子程序。在加入紧急车道后,单脉冲()连到8259的IRO端,产生一个高级的中断请求信号。 软件方面:主程序先对各个硬件初始化,在开CPU中断,然后空操作,等待中断。执行低级中断(IR1)时,8255的PA口负责选数码管,PB口负责显示数字,用查表法可查到0~9的数码管编码。 采用循环扫描方法,人眼即可看到连续的二位数。当倒计时减到零时,对红绿灯取反。若

手动上下拨动单脉冲()一次,则产生一个高级中断(IR0),程序转而执行此中断处理程序,处理完后返回继续执行低级中断(IR1)。 1、硬件设计 1.1、硬件接线图 1.2连线说明: C5区:CS、A0、A1————A3区:CS3、A0、A1

C5区:OUT0————C5区:CLK1 C5区:GATE0、GATE1————C1区:VCC C5区:CLK0————B2区:2M C5区:OUT1————B3区:IR1 B3区:CS、A0————A3区:CS2、A0 B3区:INT、INTA————ES8688:INTR、INTA B3区:IR0————B2区:单脉冲() B4区:CS、A0、A1————A3区:CS1、A0、A1 B4区:PA口、PB口————G5区:C口、B口B4区:PC口———— G6区:JP65(LED灯) 1.3实物连线图 2、软件设计

模拟交通灯课程设计

《单片机微机原理及应用》 题目:模拟交通灯的设计 专业:测控技术与仪器 班级: 姓名: 姓名: 姓名: 姓名: 指导老师: 2015年1月10日

目录 1、设计任务 (3) 2、模拟交通灯控制系统工作原理 (4) 2.1 模拟交通灯控制系统的工作原理 (4) 3、设计基本要求和步骤 (5) 3.1 基本要求 (5) 3.2 设计思路 (5) 3.2 设计步骤 (5) 4、硬件和软件设计 (7) 4.1 交通灯控制系统硬件框图 (7) 4.2 硬件电路图 (9) 4.3 程序流程图 (10) 5、心得体会 (13) 6、附录 (14) 5.1 汇编语言源程序 (14) 5.2 模拟交通灯仿真效果图 (18)

1、设计任务:模拟交通灯的设计 1.1、模拟交通灯控制系统的总体方案设计 本设计研究的是基于AT89C51单片机的交通灯智能控制系统的设计原理及阐述。主控系统采用AT89C51单片机作为控制器,控制通行倒计时及直行、行人的通行,占用端口少,耗电也最小。系统电源采用独立的+5V稳压电源,有各种成熟电路可供选用,使此方案可靠稳定。该设计精简并优化了电路。结合实际情况,显示界面采用点阵LED数码管动态扫描的方法,满足了倒计时的时间显示输出和状态灯提示信息输出的要求,减少系统的复杂度。

2、交通灯控制系统工作原理 2.1、交通灯控制系统工作原理 本系统运用单片机对交通灯控制系统实施控制,通过直接控制信号灯的状态变化,指挥交通的具体运行,运用了LED数码管显示倒计时以提醒行驶者,更添加了盲人提示音电路,方便视力障碍群体通行,更具人性化。在此基础上,加入了特种车辆自动通行控制模块和车流量检测电路为系统采集数据,经单片机进行具体处理,及时调整通行方向。由此,本设计系统以单片机为控制核心,构成最小系统,根据特种车辆自动通行控制模块、车辆检测模块和按键设置模块等产生输入,由信号灯状态模块,LED倒计时模块输出。系统进入工作状态,LED数码管实时显示数据倒计时,执行交通灯状态显示控制,在此过程中若有控制信号和实时车流量检测信号,可对异常状态进行实时控制,随时调用中断,达到修正通行时间满足不同时间不同路况的需求。

(完整版)PLC交通灯毕业设计

以下文档格式全部为word格式,下载后您可以任意修改编辑。 题目:十字路口交通灯的设计与调试 院系:机电工程 专业:应用电子技术 班级:应用电子技术(3)班 学号: 学生:晁祥义 辅导老师:李鸿征2013年5月29号

摘要 PLC可编程序控制器是以微处理器为基础,综合了计算机技术、自动控制技术和通讯技术发展而来的一种新型工业控制装置。它具有结构简单、编程方便、可靠性高等优点,已广泛用于工业过程和位置的自动控制中。据统计,可编程控制器是工业自动化装置中应用最多的一种设备。专家认为,可编程控制器将成为今后工业控制的主要手段和重要的基础设备之一,PLC、机器人、CADCAM将成为工业生产的三大支柱。由于PLC具有对使用环境适应性强的特性,同时其内部定时器资源十分丰富,可对目前普遍使用的“渐进式”信号灯进行精确控制,特别对多岔路口的控制可方便地实现。因此现在越来越多地将PLC应用于交通灯系统中。同时,PLC本身还具有通讯联网功能,将同一条道路上的信号灯组成一局域网进行统一调度管理,可缩短车辆通行等候时间,实现科学化管理. 关键词:交通灯 PLC 程序设计 目录

第一章 PLC的特点及应用 1.1 概述 可编程控制器(Programmable Controller)是计算机家族中的一员,是为工业控制应用而设计制造的。早期的可编程控制器称作可编程逻辑控制器(Programmable Logic Controller),简称PLC,它主要用来代替继电器实现逻辑控制。随着技术的发展,这种装置的功能已经大大超过了逻辑控制的范围,因此,今天这种装置称作可编程控制器,简称PC。但是为了避免与个人计算机(Personal Computer)的简称混淆,所以将可编程控制器简称PLC。 1.2 PLC的特点 1可靠性高,抗干扰能力强; 2 通用性高,使用方便; 3程序设计简单,易学,易懂; 4采用先进的模块化结构,系统组合灵活方便; 5系统设计周期短; 6安装简便,调试方便,维护工作量小; 7对生产工艺改变适应性强,可进行柔性生产;

通过Verilog实现交通灯设计实验报告

电子科技大学 实 验 报 告 一、实验室名称:虚拟仪器实验室 二、实验项目名称:交通灯设计实验 三、实验学时:4学时 四、实验原理

假设交通灯处于南北和东西两条大街的“十”字路口,如图1所示。用FPGA 开发板的LED 灯来模拟红、黄、绿3种颜色信号,并按一定顺序、时延来点亮LED ,如图2所示。图3给出了交通灯的状态转移图。设计使用频率为1Hz 的时钟来驱动电路(注1:仿真时采用1MHz 的时钟来驱动电路),则停留1个时钟可得到1S 的延时,类似停留3个时钟可得到3S 的延时,停留15个时钟可得到15S 的延时(注2:开发板工作时钟为50MHz )。 北 南 西东 图1. 六个彩色LED 可以表示一组交通信号灯 图2. 交通灯状态 南北 东西 红 黄 绿 红 黄 绿 S0 1 0 0 0 0 1 S1 1 0 0 0 1 0 S2 1 0 0 1 0 0 S3 0 0 1 1 0 0 S4 0 1 0 1 0 0 S5 1 0 0 1 0 0

图3. 交通灯的状态转移图 顶层模块 时钟分频模块状态机跳转模块 图4. 交通灯的原理框图 五、实验目的 本实验是有限状态机的典型综合实验,掌握如何使用状态转移图来定义Mealy状态机和Moore状态机,熟悉利用HDL代码输入方式进行电路的设计和仿真的流程,掌握Verilog语言的基本语法。并通过一个交通灯的设计掌握利用EDA软件(Xilinx ISE 13.2)进行HDL代码输入方式的电子线路设计与仿真的详细流程。。 六、实验内容 在Xilinx ISE 13.2上完成交通灯设计,输入设计文件,生成二进制码流文件下载到FPGA开发板上进行验证。 七、实验器材(设备、元器件)

交通灯设计 毕业论文

1 绪论 今天,红绿灯安装在各个路口上,已经成为指挥交通车辆最普遍的交通技术。但是这种技术早在19世纪就已经出现了。 世界上最早的交通信号灯出现于1858年,在英国伦敦道口上安装了以燃煤气为光源的红,蓝两色的机械扳手式交通信号灯,用以指挥车辆的通行。接着1868年,在英国伦敦威斯敏斯特区的议会大厦前的广场上,出现了世界上最早的煤气红绿灯。再到20世纪初,美国出现了以电气启动的红绿灯,这种红绿灯由红黄绿三种颜色圆形的投光器组成。红灯亮表示禁止车辆通行,绿灯亮表示允许车辆通行,黄灯闪烁表示警告。到了20世纪中期相继出现了带有各种红外线的红绿灯、压力探测红绿灯、扩音器红绿灯等各种交通信号灯。 交通信号灯的出现,使交通规则得到了很大的改善,对于车辆的管理和通行,交通事故的发生得到了明显的减少。1968年,联合国《道路交通和道路标志信号协定》对各种交通信号灯做了明确的规定。绿灯表示通行,在绿灯下,车辆向相应的方向行驶,除非另一种标志禁止某一种转向。红灯表示禁止,在红灯下,车辆必须在相应的停车线后停车。黄灯表示警告,在黄灯下,已经穿越停车线的车辆和行人应继续向前,而为超出停车线的车辆在停车线后等待。对于左转和右转的车辆在通过道口时,应先让在道口上行驶的车辆或者人行道行走的行人优先通行。 随着经济的快速发展,交通运输中出现了一些传统方法难以解决的问题。如:道路拥堵、塞车、交通事故等现象越来越严重,直接造成的经济损失也越来越大,而且还在以一定的速率在不断的增长。由于人民生活水平的提高,人们对交通安全也提出了更高的要求。在交通中管理引入单片机交通灯控制代替交管人员在交叉路口服务,提高交通的管理质量和服务要求,同时也提高了交通运输的安全性。并在一定程度上尽可能的降低由道路拥堵造成的经济损失,同时也大大的降低了人力资源的消耗。 中国是世界人口大国,而中国的车辆也在不断的增加,交通灯的管理控制更是起着重要的作用,而智能交通灯的出现更是发挥了他举足轻重的低位。它不仅可以替代了更多的人力资源,从而也带来了更多的经济和社会效益,为创造美好城市发挥着更大的作用。

基于FPGA的数字频率计设计毕业论文

武汉轻工大学 毕业设计外文参考文献译文本 2013届 原文出处:from Vin Skahill.VHDL for Programmable Logic page 76-88 毕业设计题目:基于FPGA的数字频率计设计 院(系):电气与电子工程学院 专业名称:电子信息科学与技术 学生姓名: 学生学号: 指导教师:

Introduction of digital frequency meter Digital Frequency is an indispensable instrument of communications equipment, audio and video, and other areas of scientific research and production . In addition to the plastic part of the measured signal, and digital key for a part of the show, all the digital frequency using Verilog HDL designed and implemented achieve in an FPGA chip. The entire system is very lean, flexible and have a modification of the scene. 1 、And other precision measuring frequency Principle Frequency measurement methods can be divided into two kinds: (1) direct measurement method, that is, at a certain time measurement gate measured pulse signal number. (2) indirect measurements, such as the cycle frequency measurement, VF conversion law. Frequency Measurement indirect measurement method applies only to low-frequency signals. Based on the principles of traditional frequency measurement of the frequency of measurement accuracy will be measured with the decline in signal frequency decreases in the more practical limitations, such as the accuracy and frequency of measurement not only has high accuracy, but also in the whole frequency region to maintain constant test accuracy. The main method of measurement frequency measurement Preferences gated signal GATE issued by the MCU, GATE time width on the frequency measurement accuracy of less impact, in the larger context of choice, as long as the FPGA in 32 of 100 in the counter b M Signals are not overflow line, in accordance with the theoretical calculation GATE time can be greater than the width Tc 42.94 s, but due to the single-chip microcomputer data processing capacity constraints, the actual width of less time, generally in the range of between 0.1 s choice, that is, high-frequency, shorter gate;, low gate longer. This time gate width Tc based on the size of the measured frequency automatically adjust frequency measurement in order to achieve the automatic conversion range, and expanded the range of frequency measurement; realization of the entire scope of measurement accuracy, reduce the low-frequency measurement error. The design of the main methods of measuring the frequency measurement and control block diagram as shown in Figure 1. Figure 1 Preferences gated signal GA TE issued by the MCU, GA TE time width of less frequency measurement accuracy, in the larger context of choice, as long as the FPGA in 32 of 100 in the counter b M

模拟交通灯单片机课程设计

模拟交通灯单片机课程设计

————————————————————————————————作者:————————————————————————————————日期: ?

目录 第一章概述?错误!未定义书签。 1.1设计目的?错误!未定义书签。 1.2 设计要求?错误!未定义书签。 1.3 实用价值与理论意义?错误!未定义书签。 第二章系统硬件设计?错误!未定义书签。 2.1系统电路设计框图?错误!未定义书签。 2. 2系统主要硬件需求介绍 ..................................... 错误!未定义书签。 2. 3系统电力模块图?错误!未定义书签。 2.4系统电路图?错误!未定义书签。 第三章系统软件设计 ................................ 错误!未定义书签。 3.1 在正常情况下交通灯控制程序流程 ....................... 错误!未定义书签。 3.2源程序清单与注释?错误!未定义书签。 第四章仿真结果?错误!未定义书签。 4.1正常情况的仿真图?错误!未定义书签。 第五章课程设计总结 ................................ 错误!未定义书签。 参考文献?错误!未定义书签。

第一章概述 目前单片机渗透到我们生活的各个领域,几乎很难找到哪个领域没有单片机的踪迹。导弹的导航装置,飞机上各种仪表的控制,计算机的网络通讯与数据传输,工业自动化过程的实时控制和数据处理,广泛使用的各种智能IC卡,民用豪华轿车的安全保障系统,录象机、摄象机、全自动洗衣机的控制,以及程控玩具、电子宠物等等,这些都离不开单片机。更不用说自动控制领域的机器人、智能仪表、医疗器械了。因此,单片机的学习、开发与应用必须重视。 伴随着社会的发展以及人类生活水平的提高,汽车数量在逐年递增,交通问题不得不引起人们的重视。早在1858年,英国伦敦在主要街头安装了以燃煤气为光源的红蓝两色的机械扳手式信号灯,用以指挥马车通行。这是世界上最早的交通信号灯。信号灯的出现,是交通得以有效管制,对于疏导交通流量,提高道路通行能力,减少交通事故有明显效果。近年来随着科技的飞速发展,单片机的应用正在不断深入,同时带动传统控制检测技术日益更新。在实时监测和自动控制的单片机应用系统中,单片机往往作为一个核心部件来使用,紧单片机方面知识是不够的,还应根据具体结构软硬件结合,加以完善。 目前交通灯的问题日益突出,单单依靠人力来指挥交通已经不可行了,所以,设计单片机来完成这个需求就显得越加迫切了。本设计的意义在于通过具体控制系统的设计,掌握微机控制系统设计的一般方法和处理问题的思路,特别是一些常用的技术手段。使大家能在实践教学环节中,积累设计经验,开阔思维空间,全面提高个人的综合能力。 1.1 设计目的 通过对模拟交通灯控制系统的制作,掌握定时器应用。 1.2设计要求 利用AT89S51单片机控制交通灯,实现三种情况下的控制: 正常情况下双方向轮流点亮交通灯,如表所示。

交通灯毕业设计 摘要

中文摘要 传统的交通灯控制系统大多是由数字电路来实现的,交通灯控制系统稳定性可靠性与抗干扰能力较差,随着社会经济的发展,数字电路交通灯越来越不能满足日益增长的交通压力,因此必须寻求一种新的方法来取代这种复杂而工作不稳定的控制系统。 随着科技的发展,可编程控制器(PLC )的功能日益完善,可编程控制器已作为一种以微电脑技术为核心的自动控制装置,已被广泛应用于机械制造、冶金、化工、能源等各种行业。它可靠性高、功能完善、抗干扰能力强,具有结构简单、重量轻等优点,是一种用于工业环境及过程控制的数字运算操作的电子系统。采用PLC控制交通信号灯,主要是考虑其具有对使用环境适应性强的特性,同时其内部定时器资源十分丰富,可对目前普遍使用的“渐进式”信号灯进行精确控制,特别是方便地实现多岔路口的控制。由于PLC本身具有通信联网功能,可将同一条道路上的信号灯组成一局域网进行统一调度管理,可缩短车辆通行等候时间,实现科学化管理。 在实际应用中,采用PLC控制城市交通信号灯,能根据不同的路况要求,随时修改控制程序,以改变各信号灯的工作时间和工作状况。与继电器或逻辑电路控制系统相比,PLC控制系统具有更高的可靠性、灵活性和经济实用性。本论文就是运用PLC原理来实现对十字路口的交通灯的控制,介绍了基于PLC 在交通系统的运用,系统介绍了PLC的基本原理。

关键词:交通灯控制系统;可编程控制器(PLC);十字路口;科学化管理;稳 定性;可靠性 ABSTRACT The traditional traffic control system is mostly by digital circuit, traffic control system stability reliability and anti-interference ability is bad, With the development of society and economy, and digital circuit traffic lights to meet the growing traffic pressure, therefore, must seek a new method to replace the complex and working stability of the control system. With the development of science and technology, the programmable logic controller (PLC) function, the programmable controller has, as a kind of microcomputer technology as the core in automatic control equipment, has been widely used in mechanical manufacturing, metallurgy, chemical, energy, etc. It has high reliability, complete functions, strong anti-jamming capability, with simple structure, light weight, it is a kind of process control for industrial environment and the number of operating system. PLC control traffic lights, mainly is for use with the consideration of the characteristics of strong adaptability to environment, and its internal timer resources are very rich, but for now the widespread use of "progressive" light accurately control, particularly easily realize more control of the cross-roads. Because of PLC network communication function, has the same path can be composed of a

相关主题
文本预览
相关文档 最新文档