当前位置:文档之家› 数字时钟完整版

数字时钟完整版

数字时钟完整版
数字时钟完整版

设计报告撰写要求

1.设计报告,报告中要包括以下几部分内容:

(1)封皮:设计题目,姓名、学号,班级,授课教师姓名【格式见后面附表】

(2)写明设计任务

(3)写出设计题目的原理框图;

(4)完成设计功能所选用的器件,及相应各器件的引脚排列图和功能表;(5)画出综合逻辑电路图;

(6)用仿真软件进行调试的调试过程(即:在调试时遇到了哪些实际问题,你是如何解决的);

(7)各人在本次设计中的体会和收获。

正文小四号宋体,1.5倍行间距。

课程设计报告

学生姓名:学号:

学院:电气工程学院

班级: 电自1116

题目: 数字时钟设计

指导教师:张光烈职称: 2013 年 7月 4 日

一.设计要求

多功能数字钟具有时间显示、闹钟设置、报时功能、校正作用。走时准确、显示直观、精度、稳定等优点。电路装置十分小巧,安装使用也方便。同时在日期中,它以其小巧,价格低廉,走时精度高,使用方便,功能多,便于集成化而受广大消费的喜爱。数字钟广泛用于个人家庭,车站,码头办公室等公共场所,成为人们日常生活中不可少的必需品,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度,远远超过老式钟表,钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。

数字钟已成为我们生活中不可缺少的必须品,广泛的应用于家庭以及办公室等公共场所。给我们生活、学习、工作、娱乐带来了极大的方便。

本次设计主要是采用555定时器提供定时脉冲,74160集成块作为计时模块,8段数码显示管作为显示工具。应用电子工作平台软件来设计和仿真多功能数字钟设计,并通过硬件实验的调试来相互验证实际结果。

由振荡器输出稳定的高频脉冲信号作为时间基准,经分频振荡器输出标准的秒脉冲,秒计数器满60向分计数器进位,分计数器满60向小时进位,小时计数器按“12翻1”规律计数,计数器经译码器送到显示器;计数出现误差可用校时电路进行校时、校分、校秒。并具有可整点报时与定时闹钟的功能。

设计一种多功能数字钟,该数字钟具有基本功能和扩展功能两部分。其中,基本功能部分的有准确计时,以数字形式显示时、分、秒的时间和校时功能。扩展功能部分则具有:定时控制、、自动报整点时数和触摸报正点的功能。数字钟的电路也是由主体电路和扩展电路两部分构成,在电路中,基本功能部分由主体电路实现,而扩展功能部电路实现。这两部分都有一个共同特点就是它们都要用到振荡电路提供的1Hz脉冲信号。在计时出现误差时电路还可以进行校时和校分,为了使电路简单所设计的电路不具备校秒的功能。并且要用数码管显示时、分、秒,各位均为两位显示,扩展部分要有相应的响应电路。分则由扩展能按时钟功能进行小时、分钟、秒计时,能调时调分,能整点报时,使用3个2位数码管显示。

总体设计

1.时钟显示功能,能够以十进制显示“时”、“分”、“秒”。其中时为24进制,分秒为60进制。

2. 其他功能扩展:

(1)设计一个电路实现时分秒校准功能。

(2)闹钟功能,可按设定的时间闹时。通过16~32开关控制。

(3)设计一个电路实现整点报时功能等。在59分56秒、57秒、58秒、59秒输出750Hz音频信号,在59分59秒时输出1000Hz信号,音频持续1s,在1000Hz荧屏结束时刻为整点。

(4)设计一个星期电路,0代表周日,123456代表周一到周六。

(5)设计一个秒表电路,用以比赛计时。

本阶段的任务是根据任务要求进行模块划分,提出方案,并进行比较分析,最终找到较优的方案。

该方案的优点是模块内部简单,基本不需要额外的电路,该方案结构简单,模块间关系较明确,模块外不需使用较多门电路,但不利于功能扩充。

2.设计功能要求

基本功能:

(1)时的计时要求为“12翻1”和24翻1,分和秒的计时要求为60进制(2)准确计时,以数字形式显示时,分,秒的时间

(3)校正时间

扩展功能:

(1)定时控制;

(2)仿广播电台报时功能;

(3)自动报整点时数;

(4)触摸报整点时数;

(5)秒表电路,用以比赛计时

(6)星期电路用以提示工作日和周末

二.设计原理及框图

多功能数字钟原理分析

数字电子钟主干电路系统由秒信号发生器、“时、分、秒”计数器、译码器及显示器电路组成。秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每累计60分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”。“时计数器”可以选择12或24进制计时器,可实现12或24 小时的累计。通过六个LED显示器显示出来,计数出现误差可用校时电路进行校时、校分、校秒。本次所设计的多功能数字钟用到了555定时器、74160递增集成计数器、EWB软件。555 定时器是一种模拟和数字功能相结合的中规模集成器件,74160是4位十进制同步加计数器多功能数字钟原理框架如图1-1所示,电路包括以下几个部分:标准秒信号发生器、显示电路、时分秒计数器、校时电路。

图1-1 多功能电子钟的总体框图

图1所示。

主体电路扩展电路

图1

由图1可知,电路的工作原理是:多功能数字钟电路由主体电路和扩展电路两大部分组成。其中主体电路完成数字钟的基本功能,扩展电路完成数字钟的扩展功能。

振荡器产生的高脉冲信号作为数字钟的振源,再经分频器输出标准秒脉冲。秒计数器计满60后向分计数器个位进位,分计数器计满60后向小时计数器个位进位并且小时计数器按照“12翻1”的规律计数。计数器的输出经译码器送显示器。计时出现误差时电路进行校时、校分、校秒。扩展电路必须在主

体电路正常运行的情况下才能进行扩展功能。

实现同步60进制计数,可调整

器件说明

555定时器的介绍

555 定时器是一种模拟和数字功能相结合的中规模集成器件。一般用双极性工艺制作的称为 555,用 CMOS 工艺制作的称为 7555,除单定时器外,还有对应的双定时器 556/7556。555 定时器的电源电压范围宽,可在 4.5V~16V 工作,7555 可在 3~18V 工作,输出驱动电流约为 200mA ,因而其输出可与 TTL 、CMOS 或者模拟电路电平兼容。 555 定时器成本低,性能可靠,只需要外接几个电阻、电容,就可以实现多谐振荡器、单稳态触发器及施密特触发器等脉冲产生与变换电路。它也常作为定时器广泛应用于仪器仪表、家用电器、电子测量及自动控制等方面。 555定时器的构成及原理

555定时器的集成电路引脚如2-1图所

示1脚为接地端(GND )、2脚为低触发

端(TR )、3脚为输出端 (OUT )、4脚

为复位端(R )、5脚为控制电压端

(CO )、6脚为高触发端(TH )、7脚为

放电端(D )、8脚为电源端(VCC )。

555定时器由分压器、比较器、基本

RS 触发器和放电三极管等部分组成,其内部电路图如图2-2所示。分压器由三个5K 的等值电阻串联而成。分压器为比较器1A 、2A 提供参考电压,比较器

1A 的参考电压为cc V 32

,加在同相输入端,比较器2A 的参考电压为cc V 31,加在反相输入端。比较器由两个结构相同的集成运放1A 、2A 组成。高电平触发信号加在1A 的反相输入端,与同相输入端的参考电压比较后,其结果作为基本RS 触发器_

D R 端的输入信号;低电平触发信号加在

2A 的同相输入端,与反相输入端的参考电压比较后,其结果作为基本RS 触发器_D S 端的输入信号。基本RS 触发器的输出状态受比较器1A 、2A 的输出端控制。R 是复位端,当其为0时,555输出低电平。平时该端开路或接V CC 。

CO 是控制电压端(5脚),平时输出cc V 32

作为比较器1A 的参考电平,当5

脚外接一个输入电压,即改变了比较器的参考电平,从而实现对输出的另一种控制,在不接外加电压时,通常接一个0.01uf 的电容器到地,起滤波作用,以消除外来的干扰,以确保参考电平的稳定。

VT 为放电管,当VT 导通时,将给接于脚7的电容器提供低阻放电电路。

555定时器的功能

当复位控制端(TH )的电压大于cc V 32

时,写为V TH =1,当复位控制端

(TH )的电压小于cc V 32

时,写为V TH =0。当置位控制端(TR )的电压大于cc

V 31时,写为V TR =1,当置位控制端(TR )的电压小于cc V 31

时,写为V TR =0。

555定时器有“低触发”、“高触发”和“保持”三种基本状态。

(1)当低触发端输入电压小于cc V 31且高触发端输入电压小于cc V 32时,

V TR =0,V TH =0,比较器2A 输出为低电平,1A 输出为高电平,基本RS 触发器的输入端S =0、R =0,使Q=1,Q =0,经输出反相缓冲期后,OUT=1,T 截止。这时称555定时器“低触发”。

(2)若低触发端输入电压大于cc V 31且高触发端输入电压小于cc V 32,则

V TR =1,V TH =0,S =R =1,基本RS 触发器保持,OUT 和T 状态不变,这时称555定时器“保持”。

(3)若高触发端输入电压大于cc V 32

则V TH =1,比较器1A 输出为低电平,无

论2A 输出何种电平,基本RS 触发器因R =0,使Q =1,经输出反相缓冲器后OUT=0、T 导通。这时称555定时器“高触发”。

CO 为控制电压端,在OUT 端加入电压,可改变两比较器1A 、2A 的参考电

压。正常工作时,要在CO 和地之间接0.01 uF (电容量标记为103)电容。放电管T 的输出端为集电极开路输出。以上原理综合分析见下表2-1。

555定时器基本功能测试

按图2-3所示连接实验电路,测试555定时器的输入、输出关系。根据以下的测试电路说明,按照步骤进行操作,将得出的数据再填入所绘制的表中,从而可分析出555定时器的输入、输出关系。

图2-3 555定时器输入、输出关系

测试图

(1) 开关1打到2端时,4脚复位端R接电源,也就是接高电平;开关1打到1端时,4脚复位端R接地,也就是接低电平。

(2) 开关2打到2端时,5脚控制电压端CO接电源2,也就是接高电平;开关2打到1端时,5脚控制电压端CO悬空。

(3) 调整可调电阻RP1,控制2脚低触发端V TR的电压,其值可有电压表1读取;调整可调电阻RP2,控制6脚高触发端V TH的电压,其值可有电压表2读取。

(4) 发光二极管LED1亮说明输出端3脚OUT输出高电平用OUT表示;发光二极管LED1灭说明输出端3脚OUT输出低电平用U OL表示。

(5) 发光二极管LED2亮说明555定时器内部三极管T饱和,放电端7脚对地近视短路。用导通表示;发光二极管LED2灭说明555定时器内部三极管T 截止,放电端7脚对地近视断路。用截止表示。

经过测试,我们可以得出555定时器的输入、输出关系,如表2—2所示。

表2—2555定时器的输入、输出关系

2 递增集成计数器74160的介绍

74160递增集成计数器功能介绍

74160是4位十进制同步加计数器,其中CLR 是异步清零端,LOAD 是预置端,A 、B 、C 、D 是预置数据输入端,ENP 和ENT 是计数使能端,RCO 是进位输出端,它的设置为多片集成计数器的级联提供了方便CLK 为时钟控制端。*1表示RCO 在从9变为0时输出为1;

图 2-4 为74160的引脚图;74160的功能如表

2-3 。

当输入端CLR=0时,不论有无时钟脉冲CLK ,计数器输出将被直接置零叫做异步清零;当输入端LOAD=0时,无论其它输入状态如何,计数器输出将直接置数叫做同步置数。

图 2-4 74160引脚图

当CLR=LOAD=ENP=ENT=1时,在计数脉冲(上升)作用下,进行计数。74160递增计数器的基本功能检测

74160为一个具有清零与置数功能的十进制递增计数器,由显示器件库中选择带译码器的七段显示数码管与计数器输出端相连,在信号源中选择方波电压(频率1KHZ,占空比50﹪,幅值5V)作为计数器的时钟脉冲源,将脉冲源及计数器输出端连接至逻辑分析仪输入端便于观察波形,所连电路如图2-5所示。

图2-5 74160递增计数器基本功能检测接

线图

二.设计过程

该方案用总线结构,主要功能集中在模块内部,模块功能较为独立,模块间连线简单,易于扩展,但设计难度大,门电路数量也比较多。

3.1 震荡电路

震荡电路是数字电子钟的基础,电路输出一个频率为1Hz的时钟信号作为电子钟的秒信号。

由于石英晶体振荡器所产生的频率比较稳定和精确,所以选择石英晶体来产生振荡。选用32768Hz的石英晶振,经过分频率后,可产生频率为1Hz的时钟信号。

3.2 分频电路

由于晶体振荡器产生的时钟信号频率高,不可直接作为秒信号的时钟输入信号,所以要对晶体振荡器产生的信号进行分频。

方法:由于2的15次方刚好是32768Hz,所以对晶体振荡器产生的信号进行15次分频后,即可得到1Hz的时钟信号。

3.3 计数器电路

根据设计要求,电子钟由秒,分,时,三部分组成,下面分别对三部分电路进行阐述。

3.3.1 秒位计数器

由于以上所说晶体振荡器产生频率为32768Hz时钟信号经过15次分频后即可产生1Hz的时钟信号,所以可以直接把所得的1Hz信号作为秒位计数器的时钟信号。

计数方面选择具有计数功能的74LS90芯片,采用反馈清零方法,组成60进制的计数器,60秒之后产生进位信号,与电子钟的秒位60后进位相对应。3.3.2 分位计数器

分位计数器同样采用74LS90芯片,用秒位的进位信号作为时钟信号,秒位60秒产生一个进位信号,当秒位产生一次进位信号,分位相应地计一个数,与数字电子钟秒位60秒后分位计数一次相对应。

同时用74LS90采用反馈清零法组成一个60进制计数器,计数到60后产生一个进位信号,作为时信号的时钟信号。

3.3.3 时位计数器

原理跟以上阐述的一样,分位计数到60后产生一个进位信号作为时位的时钟信号,60分钟后,时位计一次数,与数字电子钟相对应。

同样用74LS90计数,所不同的是在时位需要用反馈清零法组成一个24进制计数器。

3.4 译码显示电路

译码显示是将计数器的状态直观地显示出来。

由于计数器输出的是一个8421BCD码,所以可以用4511显示译码器与2位共阴极数码管进行译码显示。

3.5 校时电路

数字电子钟必须具有校时功能才有现实意义,所以对设计的电子钟加校时电路。

方法:利用开关和或门电路达到校时功能,由于机械开关在工作时有时会产生抖动现象,造成校时错误,或者跳得比较多,或者比较快,所以应加去抖电路。

3.6 报时电路

为增强数字电子钟的功能,有必要加上报时功能。

可利用蜂鸣器与组合逻辑电路,设计成到59分56秒开始响,到整点一共5秒时间内响5次,1秒响一次。

标准秒信号发生器

晶体振荡器电路组成的秒脉冲发生器

晶体振荡器产生的高脉冲信号作为数字钟的振源,再经分频器输出标准秒脉冲。秒计数器计满60后向分计数器个位进位,分计数器计满60后向小时计数器个位进位并且小时计数器按照“12翻1”的规律计数。计数器的输出经译码器送显示器。计时出现误差时电路进行校时、校分、校秒。

晶体振荡器

数字电路中的时钟是由振荡器产生的,振荡器是数字钟的核心。振荡器的稳定度及频率的精度决定了数字钟计时的准确程度,一般来说,振荡器的频率越高,计时精度越高,它利用某种反馈方式产生时钟信号。

为了提高秒信号准确性和稳定性,利用石英晶体来构成振荡电路。由于石英晶体的选频特性非常好,只有某一频率点的信号可以通过它,其它频率段的信号均会被它所衰减,而且,振荡信号的频率与振荡电路中元件的数值无关。因此,,这种振荡电路输出的是准确度极高的信号。然后再利用分频电路,将其输出信号转变为秒信号,其组成框图如图4-1。

分频器的作用是将由石英晶体产生的高频信号分频成基时钟脉冲信号和扩展部分所需的频率。在此电路中,分频器的功能主要有两个:一是产生标准脉冲信号;二是功能扩展电路所需的信号。由于石英晶体振荡产生频率很高为4MHz ,而电子钟需要秒脉冲,可采用分频电路实现,先经过1 次四分颁,再经过6 次十分频,最后得到秒脉冲信号。这里采用74LS161作为四分频、将74LS161QC 连接于74LS192,增计数CPV 端。用74LS192作为十分频,将74LS161四分频信号加74LS1925脚CPV ,将进位信号C 0连接下一片74LS192的CPV ,完成十分频,最后输出1HZ 的秒脉冲给计数电路。

555构成的标准秒脉冲电路

设电容的初始电压c U =0,t =0时接通电源,由于电容电压不能突变,所以

高、低触发端TH V =TL V =0

,比较器1A 输出为高电平,2A 输出为低电平,

即_1D R =,_0D S =(1表示高电位,0表示低电位),R S -触发器置1,定时器输出01u =此时_0Q =,定时器内部放电三极管截止,电源cc V 经1R ,2R 向电容C 充电,c u 逐渐升高。当c u 上升到cc V 31

时,2A 输出由0翻转为1,这时

__1D D R S ==,RS 触发顺保持状态不变。所以0

1t t =时刻,c u 上升到cc V 32

,比较器1A 的输出由1变为0,这时_

0D R =,_1D S =,RS 触发器复0,定时器输出00u =。

12t t t <<期间,_

1Q =,放电三极管T导通,电容C 通过2R 放电。c u 按指数规律下降,当c u

时比较器1A 输出由0变为1,RS 触发器的_D R =_

1D S =,Q 的状态不变,0u 的状态仍为低电平。

2t t =时刻,c u 下降到cc V 31

,比较器2A 输出由1变为0,RS 触发器的_D R =1,_

D S =0,触发器处于1,定时器输出01u =。此时电源再次向电容C 放电,重复上述过程。

通过上述分析可知,电容充电时,定时器输出01u =,电容放电时,

0u =0,电容不断地进行充、放电,输出端便获得矩形波。多谐振荡器无外部信号输入,却能输出矩形波,其实质是将直流形式的电能变为矩形波形式的电能。

由图4-3可知

振荡周期12T T T =+。1T 为电容充电时间,2T 为电容放电时间。

充电时间 11212()ln 20.7()T R R C R R C =+≈+

放电时间 222ln 20.7T R C R C =≈

矩形波的振荡周期121212ln 2(2)0.7(2)T T T R R C R R C =+=+≈+

因此改变1R 、2R 和电容C 的值,便可改变矩形波的周期和频率。 振荡频率:C

R R t t T f PL PH )2(44.11121+≈+== 对于矩形波,除了用幅度,周期来衡量外,还有一个参数:占空比q ,q=(脉宽w t )/(周期T ),w t 指输出一个周期内高电平所占的时间。图4-2所示电路输出矩形波的占空比11121212

2T T R R q T T T R R +===++。当R 2>>R 1时,占空系数近似为50% 。

555构成的标准秒脉冲电路

如图4-4所示,由555定时器和外接元件R 1、R 2、C 构成多谐振荡器,脚2与脚6直接相连。电 路 没 有 稳 态 ,仅 存在两个暂稳态,电路亦不需要外加触发信号,利用电源通过R 1、R 2向C 充电,以及C 通过R 2向放电端 C t 放电,使电

路产生振荡。电容C 在cc V 31和cc V 32之间充电和放电,

其波形如图4-5所示。555电路要求R 1 与R 2 均应大于或等于1K Ω ,但R 1+R 2应小于或等于3.3M Ω。外部元件的稳定性决定了多谐振荡器的稳定性,555定时器配以少量的元件即可获得较高精度的振荡频率和具有较强的功率输出能力。

在此,我们将电路进行了进一步的改进,将上图中的R1和R2合并为一个100K Ω的电位器,通过调节电位器可以得到相对比较稳定并且精确地秒脉冲信号,提供给秒计数器使用。将图中电阻R1﹑R2关系式,电容C 元件的参数代入上式,要使脉冲周期为T=1s ,计算得:R1≈57.14K Ω。

两种方案的比较:方案一中的振荡电路输出的是准确度极高的信号,然后再利用分频电路,将其输出信号转变为秒信号,石英晶体振荡器有频率精确、振荡稳定、温度系数小等特点,而且晶振频率越高,产生的秒脉冲越稳定,可以满足电子钟走时的准确性的要求;方案二中的555多谐振荡器外部元件的稳定性决定了多谐振荡器的稳定性,555定时器配以少量的元件即可获得较高精度的振荡频率和具有较强的功率输出能力,因此也可以满足电子钟走时的准确性的要求。但是由于方案一的成本比较高,对石英晶振的要求较高,再加上引入了分频电路,增加了电路的复杂性;而方案二的成本相对来说低得多,对元器件的要求也不是很高,电路实现起来相当容易,也可以得到较高精度的振荡频率。因此,相比之下,我们就采用了方案二:用555构成多谐振荡器,产生秒脉冲信号,供给计数电路使用。

三. 仿真调试过程

(1)启动仿真电路,可观察到数字时钟的秒位开始计时,计数到60后异步清零,并进位到分计时电路。

(2)观察到数字时钟的分为开始计时,计数到60后异步清零,并进位到时计时电路。

(3)开关J1可控制时计时电路的二十四进制或十二进制计数方式的选

择。单击控制键“空格”,可实现计数方式的转换。

(4)控制键“A”“B”、“C”可控制将校时所用2HZ时钟脉冲直接引入时、分、秒计数器,从而实现校时、校分、校秒功能。

(5)出现整点,即时计数器出现变化时,蜂鸣器会发出相应点数的报时(为得到短促响亮的声响,一般将蜂鸣器的频率设置为1KHz)。

石英晶体振荡器的特点是振荡频率准确、电路结构简单、频率易调整。它还具有压电效应,在晶体某一方向加一电场,则在与此垂直的方向产生机械振动,有了机械振动,就会在相应的垂直面上产生电场,从而机械振动和电场互为因果,这种循环过程一直持续到晶体的机械强度限止时,才达到最后稳定。这用压电谐振的频率即为晶体振荡器的固有频率。

一般来说,般来说,振荡器的频率越高,计时精度越高,但耗电量将增大。如果精度要求不高也可以采用由集成电路定时器555与RC组成的多谐振荡器。如图1所示。设振荡频率f=1KHz,R为可调电阻,微调R1可以调出1KHz 输出。

②分频器

由于振荡器产生的频率很高,要得到秒脉冲,需要分屏电路。本实验由集成电路定时器555与RC组成的多谐振荡器,产生1KHz的脉冲信号。故采用3片中规模集成电路计数器74LS90来实现,得到需要的秒脉冲信号。

图2

74160递增计数器构成六十进制计数器

在实际工作中,经常需要组成非十进制(N 进制)递增计数器,欲组成N 进制递增计数器,只要将计数器第N 状态中输出为“ 1”的Q 端,经与非门“ 与非”后控制清零端(CLR )即可(因为计数器74160清零端低电平有效)。例如:我们将74160输出端QA 和QD 通过与非门控制计数器的清零端,即可将十进制递增计数器74160改造成九进制递增计数器,改造之后的电路如图 所示。

显然,通过选择计数器不同输出端作为反馈端,利用一片74160可组成10以内任意进制递增计数器。利用两片74160计数器芯片采用同步级联方式连接就可以将其改造成60进制的计数器,十位计数器选择QC 与QB 做反馈端,经与非门输出控制十位计数器的清零端(CLR

),接成六进制计数形式。个位与图4-6 74160组成的九进

十位计数器之间采用同步级联方式,将个位计数器的进位输出控制端(RCO)接至十位计数器的计数使能端(ENP和ENT),完成个位计数器对十位计数器的进位控制。74160组成的60进制计数器连接电路如图4-7所示。而且,我们都知道秒计数器和分计数器都采用的时六十进制计数器完成的,所以,在我们要制作分计数器的时候,可参考秒计数器的原理分析来进行连接,也是利用两片74160计数器芯片采用同步级联方式连接就可以将其改造成60进制的计数器,十位计数器选择QC与QB做反馈端,经与非门输出控制十位计数器的清零端(CLR),接成六进制计数形式。个位与十位计数器之间采用同步级联方式,将个位计数器的进位输出控制端(RCO)接至十位计数器的计数使能端(ENP和ENT),完成个位计数器对十位计数器的进位控制。

简单51单片机数字时钟设计

题目:简单51单片机数字时钟设计 院系: 物理与电气工程学院 专业:自动化专业 班级:10级自动化 姓名:苏吉振 学号:2 老师:李艾华

引言 20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。 时间对人们来说总是那么宝贵,工作的忙碌性和繁杂性容易使人忘记当前的时间。忘记了要做的事情,当事情不是很重要的时候,这种遗忘无伤大雅。但是,一旦重要事情,一时的耽误可能酿成大祸。 目前,单片机正朝着高性能和多品种方向发展趋势将是进一步向着CMOS 化、低功耗、小体积、大容量、高性能、低价格和外围电路内装化等几个方面发展。下面是单片机的主要发展趋势。 单片机应用的重要意义还在于,它从根本上改变了传统的控制系统设计思想和设计方法。从前必须由模拟电路或数字电路实现的大部分功能,现在已能用单片机通过软件方法来实现了。这种软件代替硬件的控制技术也称为微控制技术,是传统控制技术的一次革命。 单片机模块中最常见的是数字钟,数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟是采用数字电路实现对时,分,秒数字显示的计时装置,广泛用于个 人家庭,车站, 码头办公室等公共场所,成为人们日常生活中不可少的必需品,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度,远远超过老式钟表, 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。

微机原理课程设计数字时钟程序

河北科技大学 课程设计报告 学生姓名:学号: 专业班级: 电子信息科学与工程 课程名称:微型计算机原理及应用 学年学期: 2 01 1 —2012 学年第1 学期 指导教师: 20 0 1 1年 1 2月 课程设计成绩评定表

目录 一、课设题目及目的………………………………….4 二、设计任务………………………………………….4 三、总框图及设计流程 (4) 四、?源程序清单 (6) 五、?调试结果及显示 (19) 六、?个人贡献………………………………………….19 七、课程设计总结及体会 (21) 一、课设题目及目的 实习题目:数字时钟程序 实习目的:通过实习,使我们进一步弄懂所学到的课本知识,巩固和深化对8086系统的指令系统、中断系统、键盘/显示系统、程序设计、应用开发等基本理论知识的理解,提高汇编语言应用于技术的实践操作技能,掌握汇编语言应用系统设计、研制的方法,培养利用科技革新、开发和创新的基本能力,为毕业后从事与其相关的工作打下一定的基础。

二、课设任务 本课题为利用汇编语言设置时钟程序,其显示效果为:截取系统时间,能以时、分、秒(其中时为24小时制)的形式显示,并且通过合理的操作能修改时和分的内容来修改时间。再有,可以给它设定一个ALARM时间,到这个时间它就能产生信号,起到定时作用,。除此之外还能显示日期,日期分为年、月、日,其显示方式为xxxx年xx 月xx日。 ' *

DB '***********PRESS ESCBUTTON TO EXIT**************',0AH,0DH,'$' TN DB'PLEASE INPUT THE NEW TIME(HH:MM:SS):',0DH,0AH,'$' TMDB'PLEASE INPUT THE ALARM TIME (HH:MM:SS):',0DH,0AH,'$' MUSICMESS DB'PLEASE CHOOSE THE TYPE OF MUSIC:1(FAST) 2(MIDDLE) 3(SLOW)',0DH,0AH,'$' MESS2DB'TIME IS:',0AH,0DH,'$' MESS3DB 'TODAY IS:',0AH,0DH,'$' DBUFFER1DB20DUP('') T_BUFFD B 40 ;在数据段开一段时间显示缓冲区 DB ? DB 40DUP(?) HOR DB? MIN DB? SEC DB? TEMPHOR DB ? TEMPMIN DB? TEMPSEC DB? MUSIC DW 800;存放音乐的频率数DATA ENDS STACK SEGMENT DB 100 DUP(?) STACK ENDS CODESEGMENT ASSUME CS:CODE,SS:STACK,DS:DATA START: CALL CLEAR ;调用清屏子程序 DISPLAY:;时间显示部分 MOV AX,DATA MOVDS,AX MOVBX,OFFSETT_BUFF;送T_BUFF的偏移地址到BX MOV AH,2CH;调用DOS时间调用功能,功能号:2CH,小时,分钟,秒数分别保存在CH,CL,DH中 INT 21H ;判断时间是否相等SUB DH,1;秒数+1修正 CALL CHECK ;.........................................................................

数字时钟设计原理

数字时钟设计——原理图一.实验目的 设计一个多功能数字中电路,基本功能为:①准确计时,以数字形式显示分、秒的时间;②分和秒的计时要求为60进位;③校正时间。 二.设计框图和工作原理 由振荡器产生高稳定的高频脉冲信号,作为数字钟的时间基准(系统时钟),再经分频器输出标准秒脉冲信号。秒计数器计满60后向分计数器进位,分计数器计满60后重新开始计时。计数器的输出经译码器送显示器。计时出现误差时可以用校时电路进行校分。 三.设计方案

1.振荡器的设计 振荡器是数字钟的核心。振荡器的稳定度及频率的精确度决定了数字钟计时的准确程度,通常选用石英晶体构成振荡器电路。一般来说,振荡器的频率越高,计时精度越高。 在这里我们选用由集成电路定时器555与RC组成的多谐振荡器。这里选用555构成的多谐振荡器,输出振荡频率v0=1KHz的脉冲,电路参数如下图所示。 2.分频器的设计 选用3片中规模集成电路计数器74LS90可以完成分频功能。因为每片为1/10分频,3片级联则可获得所需要的频率信号,即第1片的Q3端输出频率为100HZ,第2片的Q3端输出为10Hz,第3片的Q3端输出为1Hz。分频电路如下图所示:

3.分秒计数器的设计 分和秒计数器都是模M=60的计数器,其计数规律为:00-01-… -58-59-00…选74LS92作十位计数器,74LS90作个位计数器。再将它们级联组成模数M=60的计数器。分秒计数电路如下: 74LS90的原理图如下: 74LS92的原理图如下: 4.校时电路的设计 当数字钟接通电源或者计时出现误差时,需要校正时间(或称校时)。校时是数字钟应具备的基本功能。一般电子手表都具有时、分、秒等校时功能。为使

简易数字钟设计(已仿真)

简易数字钟设计 摘 要 本文针对简易数字钟的设计要求,提出了两种整体设计方案,在比较两个方案的优缺点后,选择了其中较优的一个方案,进行由上而下层次化的设计,先定义和规定各个模块的结构,再对模块内部进行详细设计。详细设计的时候又根据可采用的芯片,分析各芯片是否适合本次设计,选择较合适的芯片进行设计, 最后将设计好的模块组合调试,并最终在EWB 下仿真通过。 关键词 数字钟,EWB ,74LS160,总线,三态门,子电路 一、引言:所谓数字钟,是指利用电子电路构成的计时器。相对机械钟而言,数字钟能达到准确计时,并显示小时、分、秒,同时能对该钟进行调整。在此基础上,还能够实现整点报时,定时报闹等功能。 设计过程采用系统设计的方法,先分析任务,得到系统要求,然后进行总体设计,划分子系统,然后进行详细设计,决定各个功能子系统中的内部电路,最后进行测试。 二、任务分析:能按时钟功能进行小时、分钟、秒计时,并显示时间及调整时间,能整点报时,定点报时,使用4个数码管,能切换显示。 总体设计 本阶段的任务是根据任务要求进行模块划分,提出方案,并进行比较分析,最终找到较优的方案。 方案一、采用异步电路,数据选择器 将时钟信号输给秒模块,秒模块的进位输给分模块,分模块进位输入给时模块,切换的时候使用2选1数据选择器进行切换,电路框图如下: 该方案的优点是模块内部简单,基本不需要额外的电路,但缺点也很明显,该方案结构不清晰,模块间关系混乱,模块外还需使用较多门电路,不利于功能扩充,且使用了异步电路,计数在59的时候,高一级马上进位,故本次设计不采用此方案。 方案二、采用同步电路,总线结构 时钟信号分别加到各个模块,各个模块功能相对独立,框图如下: 显示 切换 秒钟 分钟 小时 控制 1Hz 脉冲信号 闹钟

数字时钟程序

#define uchar unsigned char #define unit unsigned int #include #include #include void 1cd_putchar(uchar 1cdchar) void 1cd_putsf(uchar code *chars) uchar code http[ ]={"current time is:"} sbit KEY1=P3^3; // sbit KEY2=p3^4; // ///////////////////////////////////////// uchar t50ms,ts,tm,th; uchar DISP_BUFFER[6]=0; void timer0(void) interrupt 1 using1 { THO=0x3c; TLO=0xbo; //50ms t50ms++; if(t50ms==20) { t50ms=0; ts++; if(ts==60) {tm=0; th++; if(th==24) {th=0; } } } } } void main (void) { p0=OXFF; P1=OXFF; P2=OXFF; P3=OXFF; TMOD=0X01;//T0 THO=0X3C; TLO=0XB0;//50ms EA=1 ETO=1; initialise();

1cd_setxy(0,0); 1cd_putsf(http); TRO=1; while(1) { DISP_BUFFER[0]=th/10; DISP_BUFFER[1]=th%/10; DISP_BUFFER[2]=tm/10; DISP_BUFFER[3]=tm%/10; DISP_BUFFER[4]=ts/10; DISP_BUFFER[5]=ts%/10; 1cd_setxy(1,0); 1cd_putchar(DISP_BUFFER[0]+0X30; 1cd_putchar(DISP_BUFFER[1]+0X30; 1cd_putchar(':'); 1cd_putchar(DISP_BUFFER[2]+0X30; 1cd_putchar(DISP_BUFFER[3]+0X30; 1cd_putchar(':'); 1cd_putchar(DISP_BUFFER[4]+0X30; 1cd_putchar(DISP_BUFFER[5]+0X30; if(!KEY1) {TM++; delay_ms(100);} } } //display one char void 1cd_putchar(uchar 1cdchar) { output(1cdchar); } //display a sting void 1cd_putsf(uchar code *chars) { uchar i=0; while(chars[i]>=0x20&chars[i]<0x7f) {if (i<0x0f) {output (chars[i]); i++; } else { 1cd_setxy(1,0); while( (chars[i]>0x20&chars[i]<0x7f) ) {output(chars[i]);

基于单片机的数字钟设计-(1)

基于单片机的数字时钟摘要 20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。 单片机模块中最常见的是数字钟,数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 本课题主要研究的是基于单片机的数字钟设计,采用AT89C51单片机作为系统的主控芯片,外接LED显示电路,按键电路,晶振电路,复位电路模块构成一个简单的数字钟。通过按键电路能对时、分、秒分别进行设置和实时调整,并将结果显示在数码管上。 关键词:数字钟,单片机,数码管

Abstract Author:cheng dong Tutor:wang xin Electronic technology has been developed rapidly in the 20 century,with its modern electronic products, pushed by almost permeated every area of society has vigorously promoted social productive forces development and improvement of social informatization level, also make modern electronic product performance further improved, and the rhythm of upgrade its products is becoming more and more quickly. The most common SCM module is a digital clock, a digital clock is a kind of digital circuit technology implementation, minutes and seconds, the timing device with mechanical clock compared with higher accuracy and intuitive and no mechanical device, has more longer service life, so it has been widely used. This topic research is the digital clock design based on SCM, AT89C51 SCM as the main control chip system, external LED display circuit, key circuits, crystals circuit, reset circuit module constitute a simple digital clock. Through the key circuits can respectively the diffculties, minutes and seconds setting and real-time adjustment, and the result showed that in the digital tube. Key words:digital clock SCM ; digital

(完整版)数字电路课程设计--数字时钟

《数字时钟》技术报告 概要 数字钟是一个将“ 时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24 小时,显示满刻度为23 时59 分59 秒。一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。 本设计中的数字时钟采用数字电路实现对“时” 、“分”、“秒” 的显示和调整。通过采用各种集成数字芯片搭建电路来实现相应的功能。具体用到了555 震荡器,74LS90 及与非,异或等门集成芯片等。该电路具有计时和校时的功能。 在对整个模块进行分析和画出总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。 实验证明该设计电路基本上能够符合设计要求! 一、系统结构。 (1)功能。此数字钟能显示“时、分、秒”的功能,它的计时周期是24 小时,最大能显示23 时59 分59 秒,并能对时间进行调整和校对,相对于机械式的手表其更为准确。 2)系统框图

系统方框图 1 (3)系统组成。 1.秒发生器:由555 芯片和RC 组成的多谐振荡器,其555 上3 的输出频率由接入的电阻与电容决定。 2.校时模块:由74LS03 中的4 个与非门和相应的开关和电阻构成。 3.计数器:由74LS90 中的与非门、JK 触发器、或门构成相应芯片串接得到二十四、六十进制的计数器,再由74LS90 与74LS08 相连接而得到秒、分、时的进分别进位。 4.译码器:选用BCD 锁存译码器4511,接受74LS90 来的信号,转换为7 段的二进制数。

5.显示模块:由7 段数码管来起到显示作用,通过接受CD4511 的信号。本次选用的是共阴型的CD4511 。 二、各部分电路原理。 1.秒发生器:555 电路内部(图2-1)由运放和RS 触发器共同组成,其工作原理由8处接VCC ,C1 处当 Uco=2/3Vcc>u11 时运放输出为1,同理C2 也一样。最终如图3 接口就输出矩形波,而形成的秒脉冲。 图 2-2 555 功能表 2.校时模块:校时模块主要由74LS03中的4个与非门构成(图2-3),由其功能图看得出只要有一个输入端由H 到L 或者从L 到H 都会使输出端发生高低变化。因此通过开关的拨动产生高低信号从而对时、分处的计数器起到调数作用。

数字时钟完整版

设计报告撰写要求 1.设计报告,报告中要包括以下几部分内容: (1)封皮:设计题目,姓名、学号,班级,授课教师姓名【格式见后面附表】 (2)写明设计任务 (3)写出设计题目的原理框图; (4)完成设计功能所选用的器件,及相应各器件的引脚排列图和功能表;(5)画出综合逻辑电路图; (6)用仿真软件进行调试的调试过程(即:在调试时遇到了哪些实际问题,你是如何解决的); (7)各人在本次设计中的体会和收获。 正文小四号宋体,1.5倍行间距。

课程设计报告 学生姓名:学号: 学院:电气工程学院 班级: 电自1116 题目: 数字时钟设计 指导教师:张光烈职称: 2013 年 7月 4 日

一.设计要求 多功能数字钟具有时间显示、闹钟设置、报时功能、校正作用。走时准确、显示直观、精度、稳定等优点。电路装置十分小巧,安装使用也方便。同时在日期中,它以其小巧,价格低廉,走时精度高,使用方便,功能多,便于集成化而受广大消费的喜爱。数字钟广泛用于个人家庭,车站,码头办公室等公共场所,成为人们日常生活中不可少的必需品,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度,远远超过老式钟表,钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。 数字钟已成为我们生活中不可缺少的必须品,广泛的应用于家庭以及办公室等公共场所。给我们生活、学习、工作、娱乐带来了极大的方便。 本次设计主要是采用555定时器提供定时脉冲,74160集成块作为计时模块,8段数码显示管作为显示工具。应用电子工作平台软件来设计和仿真多功能数字钟设计,并通过硬件实验的调试来相互验证实际结果。 由振荡器输出稳定的高频脉冲信号作为时间基准,经分频振荡器输出标准的秒脉冲,秒计数器满60向分计数器进位,分计数器满60向小时进位,小时计数器按“12翻1”规律计数,计数器经译码器送到显示器;计数出现误差可用校时电路进行校时、校分、校秒。并具有可整点报时与定时闹钟的功能。 设计一种多功能数字钟,该数字钟具有基本功能和扩展功能两部分。其中,基本功能部分的有准确计时,以数字形式显示时、分、秒的时间和校时功能。扩展功能部分则具有:定时控制、、自动报整点时数和触摸报正点的功能。数字钟的电路也是由主体电路和扩展电路两部分构成,在电路中,基本功能部分由主体电路实现,而扩展功能部电路实现。这两部分都有一个共同特点就是它们都要用到振荡电路提供的1Hz脉冲信号。在计时出现误差时电路还可以进行校时和校分,为了使电路简单所设计的电路不具备校秒的功能。并且要用数码管显示时、分、秒,各位均为两位显示,扩展部分要有相应的响应电路。分则由扩展能按时钟功能进行小时、分钟、秒计时,能调时调分,能整点报时,使用3个2位数码管显示。 总体设计

电子综合设计-基于单片机多功能数字时钟的设计(附完整程序)

课题:基于51单片机的多功能数字时钟系统设计 一、概述、设计思路 该设计方案是以MC51单片机为核心,采用LCD液晶屏幕显示系统,辅以闹钟模块,温度采集模块、日期提醒、键盘时间调整预设置等模块,所构建的数字时钟系统,能动态显示实时时钟的时、分、秒,数据显示(误差限制在30每天),对闹铃方式与温度调节模块进行了重点设计实现SB0、SB1、SB2、SB3四个键实现时钟正常显示,调时,及闹钟时间设置。本系统设计大部分功能有软件来实现,使电路简单明了,系统稳定性也得大大提高。 二、系统组成与工作原理 1、工作原理: 本设计采用STC89C51单片机作为本次课程设计的控制模块。单片机可把由DS18B20、DS1302、AT24C02中的数据利用软件来进行处理,从而把数据传输到显示模块,实现温度、日历和闹铃的显示。以LCD液晶显示器为显示模块,把单片机传来的的数据显示出来,并且显示多样化,在显示电路中,主要靠按键来实现各种显示要求的选择与切换。 2、总是设计框架图:

图二:系统总体电路图 三、单元电路的设计与分析 整个电子时钟系统电路可分为六大部分:中央处理单元(CPU)、复位电路部分、显示部分、键盘输入部分、温度采集部分。 1、MCS-51单片机 VCC: 89S51 电源正端输入,接+5V。 VSS: 电源地端。

XTAL1: 单芯片系统时钟的反相放大器输入端。 XTAL2: 系统时钟的反相放大器输出端,一般在设计上只要在XTAL1 和XTAL2 上接上一只石英振荡晶体系统就可以动作了,此外可以在两引脚与地之间加入一20PF 的小电容,可以使系统更稳定,避免噪声干扰而死机。 RESET: 89S51的重置引脚,高电平动作,当要对晶片重置时,只要对此引脚电平提升至高电平并保持两个机器周期以上的时间,AT89S51便能完成系统重置的各项动作,使得内部特殊功能寄存器之内容均被设成已知状态,并且至地址0000H处开始读入程序代码而执行程序。 EA/Vpp: "EA"为英文"External Access"的缩写,表示存取外部程序代码之意,低电平动作,也就是说当此引脚接低电平后,系统会取用外部的程序代码(存于外部EPROM中)来执行程序。因此在8031及8032中,EA引脚必须接低电平,因为其内部无程序存储器空间。如果是使用8751 内部程序空间时,此引脚要接成高电平。此外,在将程序代码烧录至8751内部EPROM时,可以利用此引脚来输入21V的烧录高压(Vpp)。 ALE/PROG: 端口3的管脚设置: P3.0:RXD,串行通信输入。 P3.1:TXD,串行通信输出。 P3.2:INT0,外部中断0输入。

数字钟设计(带仿真和连接图)

- 数字电子技术课程设计报告 题目:数字钟的设计与制作 : 专业:电气本一班 学号:姓名: 指导教师: 时间: - —

一、设计内容 数字钟设计 … 技术指标: (1)时间以24小时为周期; (2能够显示时,分,秒; (3)有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; (4)计时过程具有报时功能,当时间到达整点前5秒进行蜂鸣报时; (5)为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号. ~ 二、设计时间: 第十五、十六周 三、设计要求: (1)画出设计的电路原理图; $ (2) 选择好元器件及给出参数,在原理图中反应出来; (3)并用仿真软件进行模拟电路工作情况; (4)编写课程报告。

! 摘要 数字钟实际上是一个对标准频率(1Hz)进行计数的计数电路。振荡器产生的时钟信号经过分频器形成秒脉冲信号,秒脉冲信号输入计数器进行计数,并把累计结果以“时”、“分”、“秒”的数字显示出来。秒计数器电路计满60后触发分计数器电路,分计数器电路计满60后触发时计数器电路,当计满24小时后又开始下一轮的循环计数。一般由振荡器、分频器、计数器、译码器、数码显示器等几部分组成。 振荡电路:主要用来产生时间标准信号,因为时钟的精度主要取决于时间标准信号的频率及稳定度,所以采用石英晶体振荡器。 分频器:因为振荡器产生的标准信号频率很高,要是要得到“秒”信号,需一定级数的分频器进行分频。 计数器:有了“秒”信号,则可以根据60秒为1分,24小时为1天的进制,分别设定“时”、“分”、“秒”的计数器,分别为60进制,60进制,24进制计数器,并输出一分,一小时,一天的进位信号。 译码显示:将“时”“分”“秒”显示出来。将计数器输入状态,输入到译码器,产生驱动数码显示器信号,呈现出对应的进位数字字型。 由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路可以对分和时进行校时。另外,计时过程要具有报时功能,当时间到达整点前10秒开始,蜂鸣器1秒响1秒停地响5次。 } 为了使数字钟使用方便,在设计上使用了一个变压器和一个整流桥来实现数字钟电能的输入,使得可以方便地直接插入220V的交流电就可以正常地使用了。关键词数字钟振荡计数校正报时

数字电子钟设计说明..

数字电子钟课程设计 一、设计任务与要求 (1)设计一个能显示时、分、秒的数字电子钟,显示时间从00: 00: 00到23: 59: 59; (2)设计的电路包括产生时钟信号,时、分、秒的计时电路和显示电路(3)电 路能实现校正 (5)整点报时 二、单元电路设计与参数计算 1. 振荡器 石英晶体振荡器的特点是振荡频率准确、电路结构简单、频率易调整。它还具有压电效应,在晶体某一方向加一电场,则在与此垂直的方向产生机械振动,有 了机械振动,就会在相应的垂直面上产生电场,从而机械振动和电场互为因果,这种循环过程一直持续到晶体的机械强度限止时,才达到最后稳定。这用压电谐振的频率即为晶体振荡器的固有频率。 2. 分频器 由于振荡器产生的频率很高,要得到秒脉冲需要分频,本实验采用一片74LS90 和两片74LS160实现,得到需要的秒脉冲信号。

3. 计数器 秒脉冲信号经过计数器,分别得到“秒”个位、十位、“分”个位、十位以及 “时”个位、十位的计时。“秒” “分”计数器为六十进制,小时为二十四进制。 (1)六十进制计数 由分频器来的秒脉冲信号,首先送到“秒”计数器进行累加计数,秒计数器应完 成一分钟之内秒数目的累加,并达到 60秒时产生一个进位信号。本作品选用一 片74LS161和一片74LS160采取同步置数的方式组成六十进制的计数器。 (2)二十四进制计数 “24翻1”小时计数器按照“ 00— 01—02,, 22—23— 00—01”规律计数。与生 活中计数规律相同。二十四进制计数同样选用74LS161和74LS160计数芯片。但 清零方式采用的是异步清零方式。 MMgM 加 EHagij Z 1 进位信号 脉冲

数字时钟的Multisim设计与仿真

数字时钟的M u l t i s i m 设计与仿真 Company number:【WTUT-WT88Y-W8BBGB-BWYTT-19998】

数字电子技术课程设计 学院:信息工程学院 班级:电气二班 姓名:刘君宇张迪王应博 学号:

数字时钟的Multisim设计和仿真 一、设计和仿真要求 学习综合数字电子电路的设计、实现 基础调研 应用设计、逻辑设计、电路设计 用Multisim 软件验证电路设计 分析电路功能是否符合预期,进行必要的调试修改 撰写Project 报告,提交Multisim 二、总体设计和电路框图 24 分、校时部分。主要由矩形波产生器、秒计数器、分计数器、时计数器、LED 图1. 数字钟电路框图 七段显示数码管、时间校准电路,闹钟电路构成。 五、结论 由脉冲发生器、秒计数器、分计数器、时计数器、LED显示数码管设计了数字时钟电路,经过仿真得出较理想的结果,说明电路图及思路是正确的,可以实现所要求的基本功能:计时、显示精确到秒、时分秒校时。 下页附设计感想和分工 整点报时设计体会

刘君宇分工:完成电路设计,整点报时,闹钟,扩展功能) 通过对软件Multisim的学习和使用,进一步加深了对数字电路的认识。在仿真过程中遇到许多困难,但通过自己的努力和同学的帮助都一一克服了。首先,连接电路图过程中,数码管不能显示,后经图形放大后才发现是电路断路了。其次,布局的时候因元件比较多,整体布局比较困难,因子电路不如原电路直观,最后在不断努力下,终于不用子电路布好整个电路。 调试时有的器件在理论上可行,但在实际运行中就无法看到效果,所以得换不少器件,有时无法找出错误便更换器件重新接线以使电路正常运行。在整个设计中,计数器的接线比较困难,反复修改了多次,在认真学习其用法后采用归零法和置数法设计出60进制和24进制的计数器。 同时,在最后仿真时,预置的频率一开始用的是1hz,结果仿真结果反应很慢,后把频率加大,这才在短时间内就能看到全部结果。总之,通过这次对数字时钟的设计与仿真,为以后的电路设计打下良好的基础,一些经验和教训,将成为宝贵的学习财富。

数字电子时钟源程序

#include "2407c.h" //数字电子时钟按Key1启动、Key2暂停、Key3复位、Key4调时 #define disable() asm(" setc INTM") //禁止全局中断 #define enable() asm(" clrc INTM") //允许全局中断 unsigned int k=0,s=30,m=59,h=23,j=1; unsigned int d[8] = {0x0100,0x0200,0x030a,0x0400,0x0500,0x060a,0x0700,0x0800}; unsigned int w[8] = {0x0101,0x0204,0x030a,0x0400,0x0503,0x060a,0x0700,0x0804}; //系统初始化子程序 void chushihua (void) { asm (" clrc SXM"); //抑制符号位扩展 asm (" clrc OVM"); //累加器正常溢出 asm (" clrc CNF"); //B0被配置为数据存储空间 *WDCR=0x00E8; //关闭看门狗 *SCSR1=0X00FC; //CLKIN=10M,CLKOUT=CLK*4=40M *IMR=0x0002; //开INT2 *IFR=0xFFFF; //清全部中断,写1清0,参见P43 *MCRB=0xFE3C; //启用SPI功能引脚 *MCRA=0; //IOPA、IOPB配置为一般I/O功能 *MCRC=0; //IOPE、IOPF配置为一般I/O功能 *PFDATDIR=0x00FF; //IOPF设置为输入,并上拉 *SPICCR=0x0F; //SPI软复位、上升沿输出数据、16位数据长度 *SPICTL=0x0E; //禁止过冲中断、允许TALK(发送)数据、主机模式、禁止SPI中断//上升沿有延时 *SPIBRR=0x0F; //SPI波特率=SYSCLK/(SPIBRR+1)=2.5M *SPICCR=*SPICCR|0x80; //SPI恢复操作,准备发送、接收下一个字符 WSGR=0x00; //禁止所有的等待状态 } //延时子程序 void delay(unsigned int pp) { unsigned int k,i; for(k=0;k

单片机模拟带计时功能数字时钟

苏州市职业大学 实习<实训)报告名称单片机控制项目实训—— 模拟带计时功能的数字时钟 2018年 1 月 7 日至 2018 年 1 月 11 日共 1 周 院系计算机项目系 班级 姓名 系主任 教研室主任 指导教师 目录 一、课程设计的目的与要求1 1.课程设计的目的1 2.课程设计的要求1 二、题目说明1 2.1开发背景1 2.2 开发工具介绍2 2.3 小组成员分工2 三、硬件方案2 3.1主控MCU2

3.2键盘3 3.3 LCD液晶3 3.4 定时器5 四、软件设计6 4.1 模块划分6 4.2 模块结构图6 4.3键盘中断模块6 五、运行结果分析与系统改进8 六、遇到的问题和解决方法8 七、课程设计总结9 八、参考文献9 一、课程设计的目的与要求 1.课程设计的目的 《单片机原理与应用》是一门应用性很强的专业课,其理论与实践技能是从事嵌入式专业技术工作的人员所不可少的。本次课程设计选择AW60实验板进行模拟应用设计与开发,要求学生掌握使用 C 语言进行单片机程序设计和调试的方法,提高综合运用所学的理论知识独立分析和解决问题的能力。 2.课程设计的要求 在本课程设计过程中要求学生:重视课程设计环节,用严谨、科学和踏实的工作态度对待课程设计的每一项任务;按照课程设计的题目要求,独立地完成各项任务,不允许相互抄袭;按时到机房上机,并接受教师的检查。认真编写课程设计报告。 二、题目说明 我们选择的题目是:模拟带计时功能的数字时钟 题目描述:用AW60实验板模拟带计时功能的数字时钟,可设置时钟初始值,可调整时钟时间,可实现秒表计时功能。通过AW60的内部的定时器模块实现计时功能。 1>输入:自定义键盘,模拟时钟的按键。 2>输出:用液晶显示器显示时钟时间。 3>时间:用定时器模块实现计时功能。 <可加整点报时,或闹钟功能)

数字时钟能修改程序

数字时钟可修改程序 //已验证可行,消抖效果一般 //4位动态显示数字时钟程序 #include #include //#include #define uchar unsigned char #define uint unsigned int // sbit dp0 =P2^4; sbit dp1 =P2^5; sbit dp2 =P2^6; sbit dp3 =P2^7; sbit spk =P1^1; //P3^5; bit set,ms,df; uchar cnt[3],dpt=0,dpbuf[4]; //全局变量定义 // //显示字模表 code uchar tab[]={0x18,0x7b,0x2c,0x29,0x4b,0x89,0x88,0x3b,0x08,0x09,0xef}; // void delay_10us(void) { _nop_(); _nop_(); _nop_(); _nop_(); _nop_(); _nop_(); _nop_(); _nop_(); } // 8 nop+ret=10 Cycle

// void timer0(void) interrupt 1 using 1 { //5mS timer interrupt // uchar k,j; TH0=0xee; //65536-4608 TL0=0x00; if(dpt<3) dpt++; else dpt=0; //动态显示计数器if(dpt==2) P0 = tab[dpbuf[dpt]]&0xf7; else P0 = tab[dpbuf[dpt]]; switch(dpt) { case 0: dp1 = dp2 = dp3 = 1; dp0=0; break; case 1: dp0 = dp2 = dp3 = 1; dp1=0; break; case 2: dp0 = dp1 = dp3 = 1; dp2=0; break; case 3: dp0 = dp1 = dp2 = 1; dp3=0; } if(set) //设置时钟状态 { cnt[0]++; if(cnt[0]>=100) //0.5秒闪烁切换 { cnt[0]=0; //开始进入下个0.5秒计时

数字时钟设计原理

创作编号: GB8878185555334563BT9125XW 创作者:凤呜大王* 数字时钟设计——原理图 一.实验目的 设计一个多功能数字中电路,基本功能为:①准确计时,以数字形式显示分、秒的时间;②分和秒的计时要求为60进位;③校正时间。 由振荡器产生高稳定的高频脉冲信号,作为数字钟的时间基准(系统时钟),再经分频器输出标准秒脉冲信号。秒计数器计满60后向分计数器进位,分计数器计满60后重新开始计时。计数器的输出经译码器送显示器。计时出现误差时可以用校时电路进行校分。

三.设计方案 1.振荡器的设计 振荡器是数字钟的核心。振荡器的稳定度及频率的精确度决定了数字钟计时的准确程度,通常选用石英晶体构成振荡器电路。一般来说,振荡器的频率越高,计时精度越高。 在这里我们选用由集成电路定时器555与RC组成的多谐振荡器。这里选用555构成的多谐振荡器,输出振荡频率v0=1KHz的脉冲,电路参数如下图所示。 2.分频器的设计 选用3片中规模集成电路计数器74LS90可以完成分频功能。因为每片为1/10分频,3片级联则可获得所需要的频率信号,即第1片的Q3端输出频率为100HZ,第2片的Q3端输出为10Hz,第3片的Q3端输出为1Hz。分频电路如下图所示: 创作编号: GB8878185555334563BT9125XW 创作者:凤呜大王*

3.分秒计数器的设计 分和秒计数器都是模M=60的计数器,其计数规律为:00-01-… -58-59-00…选74LS92作十位计数器,74LS90作个位计数器。再将它们级联组成模数M=60的计数器。分秒计数电路如下: 74LS90的原理图如下: 74LS92的原理图如下: 4.校时电路的设计 当数字钟接通电源或者计时出现误差时,需要校正时间(或称校时)。校时是数字钟应具备的基本功能。一般电子手表都具有时、分、秒等校时功能。为使电路简单,这里只进行分钟的校时,当按键按下后与非门U4D 的4号引脚输入低电平,与非门U4A的8号引脚输出一个下降沿脉冲,触发分计数器计数,从而实现校分功能。下图为校分电路:

模拟数字时钟的设计

课程设计报告 课程名称:电子技术课程设计 题目:模拟数字时钟的设计 学院:信息工程学院系:电气工程及其自动化专业班级: 学号: 学生姓名: 起讫日期: 指导教师: 学院审核(签名): 审核日期:

一、课程设计的主要内容和基本要求 1.主要内容:设计一种多功能数字钟,该数字钟具有基本功能和扩展功能两部分。其中,基本功能部分的有准确计时,以数字形式显示时、分、秒的时间和校时功能。扩展功能部分则具有:定时控制、仿广播电台正点报时、自动报整点时数和触摸报正点的功能。数字钟的电路也是由主体电路和扩展电路两部分构成,在电路中,基本功能部分由主体电路实现,而扩展功能部分则由扩展电路实现。这两部分都有一个共同特点就是它们都要用到振荡电路提供的1Hz脉冲信号。在计时出现误差时电路还可以进行校时和校分,为了使电路简单所设计的电路不具备校秒的功能。并且要用数码管显示时、分、秒,各位均为两为显示,扩展部分要有相应的响应电路。 2.基本要求:画出电路原理图,元器件及参数选择,PCB文件生成版图。 二、课程设计应完成的软硬件的名称、内容及主要技术指标 1.数字钟的构成 数字钟是实际上是一个对标准频率(1Hz)进行计数的计数电路。通过附加一个校时电路,可对计数的起始时间进行校准,使其与标准时间一致。 1)555振荡电路 利用555构成多谐振荡电路提供一个频率为1HZ的方波信号,保证数字钟的走时准确稳定。 2) 时间计数器电路 时间计数器电路由秒、分、时的个位和十位计数构成,秒和分的计数器为60进制计数,而时的计数器为24进制计数。 3) 电源电路 电源电路由参数为220V AC/9VDV的通用电源及滤波电路构成。原理如图:

数字电子技术数字时钟设计书

数字电子技术数字时钟设计书一.前言 钟表作为一种定时工具被广泛的使用在生产生活的各方面。人类最初依靠太阳的角度来进行定时,所以受天气的影响比较大,为了克服依靠自然现象定时的缺点人们发明的机器钟表,电子钟表一系列的定时工具。自改革开放以来我国科技得以高速发展,尤其是电子技术的飞速发展。各种各样的电器器材凭空而出。 下面我们就以数字钟为例简单介绍一下。数字钟我们听到这几个字,第一反应就是我们所说的数字,不错数字钟就是以数字显示取代模拟表盘的钟表,数字电子钟是一种用数字电路技术实现时、分、秒计时的装置,与机式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,电子钟表具有价格便宜,质量轻,定时误差小等优点,被广泛的应用在生产,生活的各个方面。由于电子钟能提供精确又被广泛的运用在各种测量之中。 二.设计要求 1.设计一个能直接显示“分”、“秒”的数字电子钟,要求60分钟为一计 时周期。 2.电路具有校时(分)功能。 三.设计目的 此次实验设计目的在于培养学生们的操作实践能力。通过对数字时钟原理的学习,增强同学们的理论知识以及思维能力。此次实验设计不单是理论的实现,相反的,更多的在于操作能力的锻炼。通过对数字时钟的实践操作,让同学们从中收获甚多。学会元器件识别、测试和安装的方法,掌握万用表的使用方法,学

会利用软、硬件独立进行电子设备的整机装配、调试方法,并达到产品的质量要求,从而锻炼和提高学生的动手能力,巩固和加深对电子学理论知识的理解和掌握,为以后专业设计、课程设计及毕业设计准备必要的工艺知识和操作技能。培养学生综合运用理论知识解决实际问题的能力。掌握电子线路的基本原理、基本方法,掌握焊接的基本技能,达到焊点大小适中、均匀、圆润、光亮、无虚焊的要求,通过简单电器的安装制作,熟悉电子仪器的安装制作过程和电路的调试及简单故障排除的技能。 四.电路设计方案 多功能数字钟原理框架如图所示,电路包括以下几个部分:标准秒信号发生器、显示电路、分秒计数器、校时电路。

相关主题
文本预览
相关文档 最新文档