当前位置:文档之家› 基于multisim调频仿真电路图及波形

基于multisim调频仿真电路图及波形

基于multisim调频仿真电路图及波形
基于multisim调频仿真电路图及波形

附录二:

图1 基本放大电路multisim仿真波形

图 2 LC振荡电路产生载波电路multisim仿真波形

图3 锁相环间接调频电路multisim仿真波形

图4 二倍频电路multisim仿真波形

图5 系统设计总电路图

附录一:

图1 基本放大电路图

图2 LC振荡器产生载波电路图

图3 锁相环间接调频电路

图4 二倍频电路图

模拟电路_Multisim软件仿真教程

第13章Multisim模拟电路仿真本章Multisim10电路仿真软件, 本章节讲解使用Multisim进行模拟电路仿真的基本方法。 目录 1. Multisim软件入门 2. 二极管电路 3. 基本放大电路 4. 差分放大电路 5. 负反馈放大电路 6. 集成运放信号运算和处理电路 7. 互补对称(OCL)功率放大电路 8. 信号产生和转换电路 9. 可调式三端集成直流稳压电源电路 13.1 Multisim用户界面及基本操作 13.1.1 Multisim用户界面 在众多的EDA仿真软件中,Multisim软件界面友好、功能强大、易学易用,受到电类设计开发人员的青睐。Multisim用软件方法虚拟电子元器件及仪器仪表,将元器件和仪器集合为一体,是原理图设计、电路测试的虚拟仿真软件。 Multisim来源于加拿大图像交互技术公司(Interactive Image Technologies,简称IIT公司)推出的以Windows为基础的仿真工具,原名EWB。 IIT公司于1988年推出一个用于电子电路仿真和设计的EDA工具软件Electronics Work Bench(电子工作台,简称EWB),以界面形象直观、操作方便、分析功能强大、易学易用而得到迅速推广使用。 1996年IIT推出了EWB5.0版本,在EWB5.x版本之后,从EWB6.0版本开始,IIT对EWB进行了较大变动,名称改为Multisim(多功能仿真软件)。 IIT后被美国国家仪器(NI,National Instruments)公司收购,软件更名为NI Multisim,Multisim经历了多个版本的升级,已经有Multisim2001、Multisim7、Multisim8、Multisim9 、Multisim10等版本,9版本之后增加了单片机和LabVIEW虚拟仪器的仿真和应用。 下面以Multisim10为例介绍其基本操作。图13.1-1是Multisim10的用户界面,包括菜单栏、标准工具栏、主工具栏、虚拟仪器工具栏、元器件工具栏、仿真按钮、状态栏、电路图编辑区等组成部分。

实验八multisim电路仿真

电子线路设计软件课程设计报告 实验内容:实验八multisim电路仿真 一、验目的 1、进一步熟悉multisim的操作和使用方法 2、掌握multisim做电路仿真的方法 3、能对multisim仿真出的结果做分析 二、仿真分析方法介绍 Multisim10为仿真电路提供了两种分析方法,即利用虚拟仪表观测电路的某项参数和利用Multisim10 提供的十几种分析工具,进行分析。常用的分析工具有:直流工作点分析、交流分析、瞬态分析、傅立叶分析、失真分析、噪声分析和直流扫描分析。利用这些分析工具,可以了解电路的基本状况、测量和分析电路的各种响应,且比用实际仪器测量的分析精度高、测量范围宽。下面将详细介绍常用基本分析方法的作用、分析过程的建立、分析对话框的使用以及测试结果的分析等内容 1、直流工作点分析 直流工作点分析也称静态工作点分析,电路的直流分析是在电路中电容开路、电感短路时,计算电路的直流工作点,即在恒定激励条件下求电路的稳态值。在电路工作时,无论是大信号还是小信号,都必须给半导体器件以正确的偏置,以便使其工作在所需的区域,这就是直流分析要解决的问题。了解电路的直流工作点,才能进一步分析电路在交流信号作用下电路能否正常工作。求解电路的直流工作点在电路分析过程中是至关重要的。 执行菜单命令Simulate/Analyses,在列出的可操作分析类型中选择DC Operating Point,则出现直流工作点分析对话框,如图所示。直流工作点分析对话框包括3页。

Output 页用于选定需要分析的节点。 左边Variables in circuit 栏内列出电路中各节点电压变量和流过电源的电流变量。右边Selected variables for 栏用于存放需要分析的节点。 具体做法是先在左边Variables in circuit 栏内中选中需要分析的变量(可以通过鼠标拖拉进行全选),再点击Plot during simulation 按钮,相应变量则会出现在Selected variables for 栏中。如果Selected variables for 栏中的某个变量不需要分析,则先选中它,然后点击Remove按钮,该变量将会回到左边Variables in circuit 栏中。Analysis Options页 点击Analysis Options按钮进入Analysis Options页,其中排列了与该分析有关的其它分析选项设置,通常应该采用默认的 Summary页

最新模拟电子电路multisim仿真(很全 很好)资料

仿真 1.1.1 共射极基本放大电路 按图7.1-1搭建共射极基本放大电路,选择电路菜单电路图选项(Circuit/Schematic Option )中的显示/隐藏(Show/Hide)按钮,设置并显示元件的标号与数值等 。 1.静态工作点分析 选择分析菜单中的直流工作点分析选项(Analysis/DC Operating Point)(当然,也可以使用仪器库中的数字多用表直接测量)分析结果表明晶体管Q1工作在放大状态。 2.动态分析 用仪器库的函数发生器为电路提供正弦输入信号Vi(幅值为5mV,频率为10kH),用示波器观察到输入,输出波形。由波形图可观察到电路的输入,输出电压信号反相位关系。再一种直接测量电压放大倍数的简便方法是用仪器库中的数字多用表直接测得。 3.参数扫描分析 在图7.1-1所示的共射极基本放大电路中,偏置电阻R1的阻值大小直接决定了静态电流IC的大小,保持输入信号不变,改变R1的阻值,可以观察到输出电压波形的失真情况。选择分析菜单中的参数扫描选项(Analysis/Parameter Sweep Analysis),在参数扫描设置对话框中将扫描元件设为R1,参数为电阻,扫描起始值为100K,终值为900K,扫描方式为线性,步长增量为400K,输出节点5,扫描用于暂态分析。 4.频率响应分析 选择分析菜单中的交流频率分析项(Analysis/AC Frequency Analysis)在交流频率分析参数设置对话框中设定:扫描起始频率为1Hz,终止频率为1GHz,扫描形式为十进制,纵向刻度为线性,节点5做输出节点。 由图分析可得:当共射极基本放大电路输入信号电压VI为幅值5mV的变频电压时,电路输出中频电压幅值约为0.5V,中频电压放大倍数约为-100倍,下限频率(X1)为14.22Hz,上限频率(X2)为25.12MHz,放大器的通频带约为25.12MHz。 由理论分析可得,上述共射极基本放大电路的输入电阻由晶体管的输入电阻rbe限定,输出电阻由集电极电阻R3限定。 1.1.2共集电极基本放大电路(射极输出器)

Multisim数字电路仿真快速上手教程

Multisim快速上手教程 每一次数电实验都要疯了有木有!!!全是线!!!全是线!!!还都长得要命!!!完全没地方收拾啊!!!现在数电实验还要求做开放实验,还要求最好先仿真!!!从来没听说过仿真是个什么玩意儿的怎么破!!! 以下内容为本人使用仿真软件的一些心路历程,可供参考。 所谓仿真,以我的理解,就是利用计算机强大的计算能力,结合相应的电路原理(姑且理解为KVL+KCL)来对电路各时刻的状态求解然后输出的过程。相较于模拟电路,数字电路的仿真轻松许多,因为基本上都转化为逻辑关系的组合了。有人用minecraft来做数字电路,都到了做出8bitCPU的水平(https://www.doczj.com/doc/0217293108.html,/v_show/id_XMjgwNzU5MDUy.html、https://www.doczj.com/doc/0217293108.html,/v_show/id_XNjEwNTExODI4.html)。这个很神奇。 以下进入正文 首先,下载Multisim安装程序。具体链接就不再这里给出了(毕竟是和$蟹$版的软件),可以到BT站里搜索,有一个Multisim 12是我发的,里面有详细的安装说明,照着弄就没问题了。 好,现在已经安装上Multisim 12了。 然后运行,在Circuit Design Suite12.0里,有一个multisim,单击运行。 进去之后就是这样的。 那一大块白的地方就是可以放置元件的地方。 现在来以一个简单的数字逻辑电路为例:

菜单栏下一排是这些东西,划线的是数字电路仿真主要用得上的元件。 来个7400吧 点击TTL那个图标(就是圈里左边那个)。出来这样一个东西: 红圈里输入7400就出来了,也可以一个一个看,注意右边“函数”栏目下写的“QUAD 2-INPUT NAND”即是“四个双输入与非门”的意思。 点击确认,放置元件。 A、B、C、D在这里指一块7400里的四个双输入与非门,点击即可放置。 看起来很和谐,那就做个RS触发器吧。 这里输出用的是一种虚拟器件PROBE,在Indicators组,图标就是个数码管的那个。功能相当于实验箱上那些LED,也是高电平就点亮。元件旋转方向的方法是选中元件然后按Ctrl+R(otate)。还可以选中元件后点击右键,选择“水平翻转”等。

multisim 电路仿真 课程设计

4.1 仿真设计 1、用网孔法和节点法求解电路。 如图4.1-1所示电路: 3Ω (a)用网孔电流法计算电压u的理论值。 (b)利用multisim进行电路仿真,用虚拟仪表验证计算结果。(c)用节点电位法计算电流i的理论值。 (d)用虚拟仪表验证计算结果。 解: 电路图: (a) i1=2 解得 i1=2 5i2-31-i3=2 i2=1 i3=-3 i3=-3 u=2 v (b)如图所示: (c)列出方程 4/3 U1- U2=2 解得 U1=3 v U2=2 v 2A1Ω _ + 1Ω 2V - 3A 图4.1-1 i

2U 1- U 2=2 i=1 A 结果:计算结果与电路仿真结果一致。 结论分析:理论值与仿真软件的结果一致。 2、叠加定理和齐次定理的验证。 如图4.1-2所示电路: (a)使用叠加定理求解电压u 的理论值; (b)利用multisim 进行电路仿真,验证叠加定理。 (c)如果电路中的电压源扩大为原来的3倍,电流源扩大为原来的2倍,使用齐次定理,计算此时的电压u ; (d)利用multisim 对(c )进行电路仿真,验证齐次定理。 电路图: (a ) I 1=2 7 I 2-2 I 1- I 3=0 3 I 3- I 2-2 I 4=0 解得 U 1=7(V ) I 4=-3 U 1 U 1=2(I 1- I 2) 如图所示电压源单独作用时根据网孔法列方程得: 3 I 1-2 I 2- I 3= 4 I 2=-3 U 2 7 I 3 - I 1=0 解得 U 2=9(V ) U 2=4-2 I 3 所以 U= U 1+ U 2=16(V ) (b )如图所示。 2Ω 1Ω 2Ω 4Ω 2A 3u + 4V - + u - 图4.1-2

Multisim电路仿真

Multisim电路仿真 示例1.直流电路分析 步骤一:文件保存 打开Multisim 软件,自动产生一个名为Design1的新文件。 打开菜单File>>Save as…,将文件另存为“CS01”(自动加后缀) 步骤二:放置元件 打开菜单Place>>Component… 1.选择Sources(电源)Group (组),选择POWER_SOURCES(功率源)Family(小组),在元件栏中用鼠标双击DC_POWER,将直流电源放置到电路工作区。 说明:所有元件按Database -> Group -> Family 分类存放

2.继续放置元件: Sources Group –>POWER_SOURCES Family->ROUND(接地点 Basic Group->RESISTOR Family(选择5个电阻) 3.设定元件参数。采用下面两种方式之一 1)在放置元件时(在一系列标准值中)选择; 2)在工作区,鼠标右键点击元件,在Properties (属性)子菜单中设定。 步骤三.根据电路图连线 用鼠标拖动元件到合适位置,如果有必要,鼠标右键点击元件,可对 其翻转(Flip)或旋转(Rotate)。连线时先用鼠移至一个元件的接线端, 鼠标符号变成叉形,然后拖动到另一结点,点击右键确认连线。 若需显示全部节点编号,在菜单 Option>>Sheet Properties>>Sheet visibility 的Net names 选板中选中show all。

步骤四.电路仿真 选择菜单Simulate>>Analyses>>DC operating point…(直流工作点分析) 在DC operating point analysis窗口中,选择需要分析的变量(节点电压、元件电流或功率等)。

实验1: 电路仿真工具Multisim的基本应用

实验一电路仿真工具Multisim的基本应用 一.实验目的 1.学会电路仿真工具Multisim的基本操作。 2.掌握电路图编辑法,用Multisim对电路进行仿真。 二、实验仪器 PC机、Multisim软件 三、实验原理 MultiSim 7 软件是加拿大Electronics Workbench 公司推出的用于电子电路仿真的虚拟电子工作台软件。它可以对模拟电路、数字电路或混合电路进行仿真。该软件的特点是采用直观的图形界面,在计算机屏幕上模仿真实实验室的工作台,用屏幕抓取的方式选用元器件,创建电路,连接测量仪器。软件仪器的控制面板外形和操作方式都与实物相似,可以实时显示测量结果。 1. Multisim 7主窗口 2. 常用Multisim7 设计工具栏 元件编辑器按钮--用以增加元件仿真按钮--用以开始、暂停或结束电路仿真。 分析图表按钮--用于显示分析后的图表结果分析按钮--用以选择要进行的分析。3.元件工具栏(主窗口左边两列) 其中右边一列绿色的为常用元器件(且为理想模型)。左边一列包含了所有元器件(包括理想模型和类实际元器件模型)。在电路分析实验中常用到的器件组包括以下三个组(主界面左边第二列): 电源组信号源基本器件组

(1)电源(点击电源组) 交流电源直流电源接地 (2)基本信号源 交流电流源交流电压源 (3)基本元器件(点击基本器件组) 电感电位器电阻可变电容电容 4.常用虚拟仪器(主窗口右侧一列) ⑴数字万用表 数字万用表的量程可以自动调整。双击虚拟仪器可进行参数设定。下图是其图标和面板: 其电压、电流档的内阻,电阻档的电流和分贝档的标准电压值都可以任意设置。从打开的面板上选Setting按钮可以设置其参数。 (2)信号发生器 信号发生器可以产生正弦、三角波和方波信号,其图标和面板如下图所示。可调节方波和三角波的占空比。双击虚拟仪器可进行参数设定。 (3)示波器 在Multisim 7中提供了两种示波器:通用双踪示波器和4通道示波器。双击虚拟仪器可进行参数设定。这里仅介绍通用双踪示波器。其图标和面板如下图所示。

模拟电子技术课程设计(Multisim仿真)

《电子技术Ⅱ课程设计》 报告 姓名 xxx 学号 院系自动控制与机械工程学院 班级 指导教师 2014 年 6 月18日

目录 1、目的和意义 (3) 2、任务和要求 (3) 3、基础性电路的Multisim仿真 (4) 3.1 半导体器件的Multisim仿真 (4) 3.11仿真 (4) 3.12结果分析 (4) 3.2单管共射放大电路的Multisim仿真 (5) 3.21理论计算 (7) 3.21仿真 (7) 3.23结果分析 (8) 3.3差分放大电路的Multisim仿真 (8) 3.31理论计算 (9) 3.32仿真 (9) 3.33结果分析 (9) 3.4两级反馈放大电路的Multisim仿真 (9) 3.41理论分析 (11) 3.42仿真 (12) 3.5集成运算放大电路的Multisim仿真(积分电路) (12) 3.51理论分析 (13) 3.52仿真 (14) 3.6波形发生电路的Multisim仿真(三角波与方波发生器) (14) 3.61理论分析 (14) 3.62仿真 (14) 4.无源滤波器的设计 (14) 5.总结 (18) 6.参考文献 (19)

一、目的和意义 该课程设计是在完成《电子技术2》的理论教学之后安排的一个实践教学环节.课程设计的目的是让学生掌握电子电路计算机辅助分析与设计的基本知识和基本方法,培养学生的综合知识应用能力和实践能力,为今后从事本专业相关工程技术工作打下基础。这一环节有利于培养学生分析问题,解决问题的能力,提高学生全局考虑问题、应用课程知识的能力,对培养和造就应用型工程技术人才将能起到较大的促进作用。 二、任务和要求 本次课程设计的任务是在教师的指导下,学习Multisim仿真软件的使用方法,分析和设计完成电路的设计和仿真。完成该次课程设计后,学生应该达到以下要求: 1、巩固和加深对《电子技术2》课程知识的理解; 2、会根据课题需要选学参考书籍、查阅手册和文献资料; 3、掌握仿真软件Multisim的使用方法; 4、掌握简单模拟电路的设计、仿真方法; 5、按课程设计任务书的要求撰写课程设计报告,课程设计报告能正确反映设计和仿真结果。

基于Multisim的电路仿真

模拟电子技术实验《信号放大器的设计》 班级: 姓名: 指导老师: 2013年12月10日至12日

1.实验目的 (1)掌握分立或集成运算放大器的工作原理及其应用。 (2)掌握低频小信号放大电路和功放电路的设计方法。 (4)通过实验培养学生的市场素质,工艺素质,自主学习的能力,分析问题解决问题的能力 以及团队精神。 (5)通过实验总结回顾所学的模拟电子技术基础理论和基础实验,掌握低频小信号放大电路 和功放电路的设计方法 2.实验任务和要求 2.1实验任务 1)已知条件: 信号放大电路由“输入电路”、“差分放大电路”、“两级负反馈放大电路”、“功率放大器”、“扬声器”几部分构成。 图2-1 信号放大器的系统框图 2)性能指标: a)输入信号直接利用RC 正弦波振荡电路产生。 b) 前置放大器: 输入信号:Uid ≤ 10 mV 输入阻抗:Ri ≥ 100 k c) 功率放大器: 最大不失真输出功率:Pomax ≥1W 负载阻抗:RL= 8; 电源电压:+ 5 V ,+ 12V ,- 12V d) 输出功率连续可调 直流输出电压 ≤ 50 mV 信号产生 差分放大 共射级放大 功率放大 负反馈 输出信号

静态电源电流≤100 mA 2.2实验要求 1)选取单元电路及元件 根据设计要求和已知条件,确定信号产生电路、前置放大电路、功率放大电路的方案, 计算和选取单元电路的原件参数。 2)前置放大电路的组装与调试测量前置放大电路的差模电压增益AU、共模电压增益AUc、共模抑制比KCMR、带宽BW、输入电压Ri等各项技术指标,并与设计要求值进行比较。 3)有源带通滤波器电路的组装与调试 测量有缘带通滤波器电路的差模电压增益AUd、带通BW,并与设计要求进行比较。 4)功率放大电路的组装与调试 功率放大电路的最大不失真输出功率Po,max、电源供给功率PDC、输出效率η、直流输 出电压、静态电源电流等技术指标。 5)整体电路的联调 6)应用Multisim软件对电路进行仿真分析。 2.3选用元器件 电容电阻若干、双踪示波器1个、信号发生器一个、交流毫伏表1个、数字万用表等仪器、晶体三极管 2N3906 1个,2N2222A 5个,2N2222 2个,2N3904 2个,1N3064 1个。 3、实验内容 1、总电路图 (一)实验总体电路图

Multisim仿真混沌电路

Multisim仿真—混沌电路 1104620125

Multisim仿真—混沌电路 一、实验目的 1、了解非线性电阻电路伏安特性,以及其非线性电阻特征的测量方法; 2、使用示波器观察混沌电路的混沌现象,通过实验感性地认识混沌现象,理解非线性科学中“混沌”一词的含义;; 3、研究混沌电路敏感参数对混沌现象的影响 二、实验原理 1、蔡氏电路 本实验采用的电路图如图9-16 所示,即蔡氏电路。蔡氏电路是由美国贝克莱大 学的蔡少棠教授设计的能产生混沌行为的最简单的一种自制电路。R 是非线性电 阻元件,这是该电路中唯一的非线性元件,是一个有源负阻元件。电容C2 与电 感L 组成一个损耗很小的振荡回路。可变电阻1/G 和电容C1 构成移相电路。最 简单的非线性元件R 可以看作由三个分段线性的元件组成。由于加在此元件上的 电压增加时,故称为非线性负阻元件。 三、实验内容 为了实现有源非线性负阻元件实,可以使以下电路,采用两个运算放大器(1 个双运放TL082)和六个配置电阻来实现,其电路如图1,这主要是一个正反馈电路,能输出电流以维持振荡器不断震荡,而非线性负阻元件能使振荡周期产生分岔和混沌等一系列非线性现象。 1、实验电路如下图,电路参数:1、电容:100nf 一个,10nf 一个; 2、线性电阻6 个:

200Ω二个,22kΩ二个,2.2kΩ一个,3.3kΩ一个;3、电感:18mH 一个;4、运算放大器:五端运放TL083 二个;5、可变电阻:可变电阻一个;6、稳压电源:9V 的VCC 二个,-9V 的VEE 二个; 图1 选好元器件进行连接,然后对每个元器件进行参数设置,完成之后就可以对 蔡氏电路进行仿真了。双击示波器,可以看到示波器的控制面板和显示界面,在 控制面板上可以通过相关按键对显示波形进行调节。 下面是搭建完电路的截图: 2、将电压表并联进电路,电流表串联进电路可以直接测出加在非线性负阻的电压、电流, U/V I/mA U/V I/mA 12 0.1579 -1 -0.76917 11 2.138 -2 -1.44352 10 4.601 -3 -1.84752

multisim电路仿真图

一.直流叠加定理仿真 图1.1 图1.2 图1.3 结果分析:从上面仿真结果可以看出,V1和I1共同作用时R3两端的电压为36.666V;V1和I1单独工作时R3两端的电压分别为3.333V和33.333V,这两个数值之和等于前者,符合叠加定理。 二.戴维南定理仿真 戴维南定理是指一个具有直流源的线性电路,不管它如何复杂,都可以用一个电压源UTH与电阻RTH串联的简单电路来代替,就它们的性能而言,两者

是相同的。 图2.1 如上图2.1电路所示,可以看出在XMM1和XMM2的两个万用表的面板上显示出电流和电压值为:IRL=16.667mA,URL=3.333V。 图2.2 如上图2.2所示电路中断开负载R4,用电压档测量原来R4两端的电压,记该电压为UTH,从万用表的面板上显示出来的电压为UTH=6V。

图2.3 在图2.2所测量的基础之上,将直流电源V1用导线替换掉,测量R4两端的的电阻,将其记为RTH,测量结果为RTH=160Ω。 图2.4

在R4和RTH之间串联一个万用表,在R4上并接一个万用表,这时可以读出XMM1和XMM2上读数分别为:IRL1=16.667mA,URL1=3.333V。 结果分析:从图2.1的测试结果和图2.4的测试结果可以看出两组的数据基本一样,从而验证了戴维南定理。 三.动态电路的仿真 1、一阶动态电路: 图3.1 2、二阶动态电路分析: 图3.2 2、二阶动态电路: 图3.3

一阶动态电路中V2随时间的变化可以看出,在0~500ms之间随时间的增大而非线性增大,大于500ms后趋于稳定。 图3.4 当R1电位器阻值分别为500Ω,2000Ω,4700Ω时,输出瞬态波形的变化如上图所示。 四.交流波形叠加仿真 图4.1

电路分析multisim仿真实验二

电路分析Multisim仿真实验二 验证欧姆定律 1.实验要求与目的 (1)学习使用万用表测量电阻。 (2)验证欧姆定律。 2. 元器件选取 (1)电源:Place Source→POWER_SOURCES→DC_POWER,选取直流电源,设置电源电压为12V。 (2)接地:Place Source→POWER_SOURCES→GROUND,选取电路中的接地。(3)电阻:Place Basic→RESISTOR,选取R1=10Ω,R2=20Ω。 (4)数字万用表:从虚拟仪器工具栏调取XMM1。 (5)电流表:Place Indicators→AMMETER,选取电流表并设置为直流档。 3. 仿真实验电路 图1 数字万用表测量电阻阻值的仿真实验电路及数字万用表面板

图2 欧姆定律仿真电路及数字万用表面板 4.实验原理 欧姆定律叙述为:线性电阻两端的电压与流过的电流成正比,比例常数就是这个电阻元件的电阻值。欧姆定律确定了线性电阻两端的电压与流过电阻的电流之间的关系。其数学表达式为U=RI,式中,R为电阻的阻值(单位为Ω);I为流过电阻的电流(单位为A);U为电阻两端的电压(单位为V)。 欧姆定律也可以表示为I=U/R,这个关系式说明当电压一定时电流与电阻的阻值成反比,因此电阻阻值越大则流过的电流就越小。 如果把流过电阻的电流当成电阻两端电压的函数,画出U(I)特性曲线,便可确定电阻是线性的还是非线性的。如果画出的特性曲线是一条直线,则电阻式线性的;否则就是非线性的。 5.仿真分析 (1)测量电阻阻值的仿真分析 ①搭建图1所示的用数字万用表测量电阻阻值的仿真实验电路,数字万用表按图设置。 ②单击仿真开关,激活电路,记录数字万用表显示的读数。 ③将两次测量的读数与所选电阻的标称值进行比较,验证仿真结果。 (2)欧姆定律电路的仿真分析 ①搭建图2所示的欧姆定律仿真电路。 ②单击仿真开关,激活电路,数字万用表和电流表均出现读数,记录电阻R1两

基于Multisim的模拟电路仿真技术

本科毕业设计(论文) 题目基于Multisim的 模拟电路仿真技术 部系地方生部 专业电子信息工程 学员郑怿 指导教员梁发麦 中国人民解放军海军航空工程学院 2007 年7 月

基于Multisim的模拟电路仿真技术 摘要:介绍了Multisim 软件的功能和特点,提出运用Multisim 实现模拟电路的仿真方法。通过几个电子原理性电路的仿真实例阐述了模拟电路建立、元器件的选用和仿真参数的设置方法等关健问题,同时得到了正确的仿真结果。 关键词:模拟电路;Multisim ;仿真技术;EDA 从20 世纪80 年代以来,电子系统日趋数字化、复杂化和大规模集成化。同时深亚微米半导体工艺、B 表面安装技术的发展又支持了产品集成化程度的进步,使电子产品进入了片上系统(SOC )时代。另外电子产品厂商不懈追求缩短产品设计周期,从而获取高收益。在这些因素的影响下,EDA 技术应运而生。EDA ( Electronic Design Automation ,电子设计自动化)技术是一门综合了现代电子与计算机技术,以计算机为平台对电子电路、系统或芯片进行设计、仿真和开发的计算机辅助设计技术。利用EDA 技术对电力电子电路进行仿真一直是研究电力电子技术的工程技术人员所期望实现的目标。Multisim 就为此提供了一个良好的平台。在这个平台上可以容易地实现了基本的电力电子电路的仿真,包括不控整流电路、可控整流电路、逆变电路等电路的仿真分析。仿真得到的结果与理论分析的结果基本一致,这对电子电路的设计具有重大的意义。本文主要介绍利用Multisim 10平台对基本电子电路进行仿真的方法,得出与理论相符合的结果,有利于实际的工程设计。 1 Multisim 的功能和特点 加拿大Interactive Image Technologie 公司在1958 年推出了一个专门用于电子电路仿真和设计的EDA 工具软件EWB ( Electronics Workbench )。由于EWB 具有许多突出的优点,引起了电子电路设计工作者的关注,迅速得到了推广使用。但是随着电子技术的飞速发展,EWB 5 . x 版本的仿真设计功能已远远不能满足复杂的电子电路的仿真设计要求。因此IIT 公司将用于电路级仿真设计的模块升级为Multi sim ,并于2001 年推出了Multisim 的最新版本Multisim 2001 。 Multisim 2001 继承了 EWB 界面形象直观、操作方便、仿真分析功能强大、分析仪器齐全、易学易用等诸多优点,并在功能和操作上进行了较大改进。主要表现为:增加了射频电路的仿真功能;极大扩充了元器件库;新增了元件编辑器;扩充了电路的测试功能;增加了瓦特表、失真仪、网络分析仪等虚拟仪器,并允许仪器仪表多台同时使用;改进了元件之间的连接方式,允许任意走向;支持VHDL 和Verilo g 语言的电路仿真与设计;允许把子电路作为一个元器件使用,允许用户自定义元器件的属性等。 工程师们可以使用Multisim交互式地搭建电路原理图,并对电路进行仿真。Multisim提炼了SPICE仿真的复杂内容,这样工程师无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计,这也使其更适合电子学教育。通过Multisim和虚拟仪器技术,PCB设计工程师和电子学教育工作者可以完成从理论到原理图捕获与仿真再到原型设计和测试这样一个完整的综合设计流程。 (一)模拟电路举例: 1.1 晶体管基本放大电路 共射极,共集电极和共基极三种组态的基本放大电路是模拟电子技术的基础,通过EWB对其进行仿真分析,进一步熟悉三种电路在静态工作点,电压放大倍数,频率特性以及输入,输出电阻等方面各自的不同特点。

Multisim数电仿真 555电路应用

实验3.12 555电路应用 一、实验目的: 1. 了解555电路的工作原理。 2. 学会分析555电路所构成的几种应用电路工作原理。 3.掌握555电路的具体应用。 二、实验准备: 555电路是一种常见的集模拟与数字功能于一体的集成电路。只要适当配接少量的元件,即可构成时基振荡、单稳触发等脉冲产生和变换的电路,其内部原理图如图3.12.1所示,其中(1)脚接地,(2)脚触发输入,(3)脚输出,(4)脚复位,(5)脚控制电压,(6)脚阈值输入,(7)脚放电端,(8)脚电源。 图3.12.1 555集成电路功能如表3.12.1所示。 表3.12.1:

注:1.(5)脚通过小电容接地。 2.*栏对CMOS 555电路略有不同。 图3.12.2是555振荡电路,从理论上我们可以得出: 振荡周期: C R R T ?+=)2(7.021...........................…….....3.12.1 高电平宽度: C R R t W ?+=)(7.021 ..........................…….....3.12.2 占空比: q = 2 12 12R R R R ++............................................…......3.12.3 图3.12.3为555单稳触发电路,我们可以得出(3)脚输出高电平宽度为: RC t W 1.1=............................................................3.12.4 三、计算机仿真实验内容: 1. 时基振荡发生器: (1). 单击电子仿真软件Multisim7基本界面左侧左列真实元件工具条

模拟电路Multisim软件仿真教程

第13章 Multisim模拟电路仿真本章Multisim10电路仿真软件, 本章节讲解使用Multisim进行模拟电路仿真的基本方法。 目录 1. Multisim软件入门 2. 二极管电路 3. 基本放大电路 4. 差分放大电路 5. 负反馈放大电路 6. 集成运放信号运算和处理电路 7. 互补对称(OCL)功率放大电路 8. 信号产生和转换电路 9. 可调式三端集成直流稳压电源电路 13.1 Multisim用户界面及基本操作 13.1.1 Multisim用户界面 在众多的EDA仿真软件中,Multisim软件界面友好、功能强大、易学易用,受到电类设计开发人员的青睐。Multisim用软件方法虚拟电子元器件及仪器仪表,将元器件和仪器集合为一体,是原理图设计、电路测试的虚拟仿真软件。 Multisim来源于加拿大图像交互技术公司(Interactive Image Technologies,简称IIT公司)推出的以Windows为基础的仿真工具,原名EWB。 IIT公司于1988年推出一个用于电子电路仿真和设计的EDA工具软件Electronics Work Bench(电子工作台,简称EWB),以界面形象直观、操作方便、分析功能强大、易学易用而得到迅速推广使用。 1996年IIT推出了EWB5.0版本,在EWB5.x版本之后,从EWB6.0版本开始,IIT对EWB进行了较大变动,名称改为Multisim(多功能仿真软件)。 IIT后被美国国家仪器(NI,National Instruments)公司收购,软件更名为NI Multisim,Multisim 经历了多个版本的升级,已经有Multisim2001、 Multisim7、 Multisim8、Multisim9 、Multisim10等版本,9版本之后增加了单片机和LabVIEW虚拟仪器的仿真和应用。 下面以Multisim10为例介绍其基本操作。图13.1-1是Multisim10的用户界面,包括菜单栏、标准工具栏、主工具栏、虚拟仪器工具栏、元器件工具栏、仿真按钮、状态栏、电路图编辑区等组成部分。

基于Multisim的三极管放大电路仿真分析【VIP专享】

基于Multisim 的三极管放大电路仿真分析 来源:大比特半导体器件网 引言 放大电路是构成各种功能模拟电路的基本电路,能实现对模拟信号最基本的处 理--放大,因此掌握基本的放大电路的分析对电子电路的学习起着至关重要的作 用。三极管放大电路是含有半导体器件三极管的放大电路,是构成各种实用放大 电路的基础电路,是 《模拟电子技术》课程中的重点内容。 在课程学习中,一再向学生强调,放大电路放大的对象是动态信号,但放大电 路能进行放大的前提是必须设置合适的静态工作点,如果静态工作点不合适,输 出的波形将会出现失真,这样的 “放大”就毫无意义。什么样的静态工作点是 合适的静态工作点;电路中的参数对静态工作点及动态输出会产生怎样的影响 ;正 常放大的输出波形与失真的输出波形有什么区别 ;这些问题单靠课堂上的推理 及语言描述往往很难让学生有一个直观的认识。 在课堂教学中引入 Multisim 仿真技术,即时地以图形、数字或曲线的形式 来显示那些难以通过语言、文字表达令人理解的现象及复杂的变化过程,有助于 学生对电子电路中的各种现象形成直观的认识,加深学生对于电子电路本质的理 解,提高课堂教学的效果。实现在有限的课堂教学中,化简单抽象为具体形象, 化枯燥乏味为生动有趣,充分调动学生的学习兴趣和自主性。 1 Multisim 10 简介 Multisim 10 是美国国家仪器公司(NI 公司)推出的功能强大的电子电路仿 真设计软件,其集电路设计和功能测试于一体,为设计者提供了一个功能强大、 仪器齐全的虚拟电子工作平台,设计者可以利用大量的虚拟电子元器件和仪器仪 表,进行模拟电路、数字电路、单片机和射频电子线路的仿真和调试。 Multisim 10 的主窗口如同一个实际的电子实验台。屏幕中央区域最大的窗 口就是电路工作区,电路工作窗口两边是设计工具栏和仪器仪表栏。设计工具栏 存放着各种电子元器件,仪器仪表栏存放着各种测试仪器仪表,可从中方便地选 择所需的各种电子元器件和测试仪器仪表在电路工作区连接成实验电路,并通过 “仿真”菜单选择相应的仿真项目得到需要的仿真数据。 2 三极管放大电路的仿真分析 、管路敷设技术通过管线不仅可以解决吊顶层配置不规范高中资料试卷问题,而且可保障各类管路习题到位。在管路敷设过程中,要加强看护关于管路高中资料试卷连接管口处理高中资料试卷弯扁度固定盒位置保护层防腐跨接地线弯曲半径标等,要求技术交底。管线敷设技术中包含线槽、管架等多项方式,为解决高中语文电气课件中管壁薄、接口不严等问题,合理利用管线敷设技术。线缆敷设原则:在分线盒处,当不同电压回路交叉时,应采用金属隔板进行隔开处理;同一线槽内强电回路须同时切断习题电源,线缆敷设完毕,要进行检查和检测处理。、电气课件中调试对全部高中资料试卷电气设备,在安装过程中以及安装结束后进行 高中资料试卷调整试验;通电检查所有设备高中资料试卷相互作用与相互关系,根据生产工艺高中资料试卷要求,对电气设备进行空载与带负荷下高中资料试卷调控试验;对设备进行调整使其在正常工况下与过度工作下都可以正常工作;对于继电保护进行整核对定值,审核与校对图纸,编写复杂设备与装置高中资料试卷调试方案,编写重要设备高中资料试卷试验方案以及系统启动方案;对整套启动过程中高中资料试卷电气设备进行调试工作并且进行过关运行高中资料试卷技术指导。对于调试过程中高中资料试卷技术问题,作为调试人员,需要在事前掌握图纸资料、设备制造厂家出具高中资料试卷试验报告与相关技术资料,并且了解现场设备高中资料试卷布置情况与有关高中资料试卷电气系统接线等情况 ,然后根据规范与规程规定,制定设备调试高中资料试卷方案。 、电气设备调试高中资料试卷技术电力保护装置调试技术,电力保护高中资料试卷配置技术是指机组在进行继电保护高中资料试卷总体配置时,需要在最大限度内来确保机组高中资料试卷安全,并且尽可能地缩小故障高中资料试卷破坏范围,或者对某些异常高中资料试卷工况进行自动处理,尤其要避免错误高中资料试卷保护装置动作,并且拒绝动作,来避免不必要高中资料试卷突然停机。因此,电力高中资料试卷保护装置调试技术,要求电力保护装置做到准确灵活。对于差动保护装置高中资料试卷调试技术是指发电机一变压器组在发生内部故障时,需要进行外部电源高中资料试卷切除从而采用高中资料试卷主要保护装置。

Multisim电路仿真实验

Multisim电路仿真实验 一、实验目的 熟悉电路仿真软件Multisim的功能,掌握使用Multisim进行输入电路、分析电路和仪表测试的方法。 二、使用软件 NI Multisim student V12 三、实验内容 1.研究电压表内阻对测量结果的影响 输入如图1所示的电路图,在setting 中改变电压表的内阻,使其分别为200kΩ、5kΩ等,观察其读数的变化,研究电压表内阻对测量结果的影响。并分析说明仿真结果。 图1 实验结果: 【200kΩ】

图2【5k 】 图3 分析:

①根据图1电路分析,如果不考虑电压表内阻的影响,U10=R2V1/(R1+R2)=5V; ②根据图2,电压表内阻为200kΩ时,电压表示数U10=4.878V,相对误差|4.878-5|*100%/5=2.44% ③根据图3,电压表内阻为5kΩ时,电压表示数U10=2.5V,相对误差|2.5-5|*100%/5=50% 可以看出,电压表内阻对于测量结果有影响,分析原因,可知电压表具有分流作用,与R2并联后,R2’=1/(1/R1+1/R V)>R2时,U10’≈U10 2. RLC串联谐振研究 输入如图4的电路,调节信号源频率,使之低于、等于、高于谐振频率时,用示波器观察波形的相位关系,并测量谐振时的电流值。用波特图仪绘制幅频特性曲线和相频特性曲线,并使用光标测量谐振频率、带宽(测量光标初始位置在最左侧,可以用鼠标拖动。将鼠标对准光标,单击右键可以调出其弹出式菜单指令,利用这些指令可以将鼠标自动对准需要的座标位置)。 图4 实验结果: 【等于:f=159.155Hz】

仿真软件Multisim与PSpice在电路设计中的功能比较

仿真软件Multisim与PSpice在电路设计中的功能 比较 —— 作者:时间:2007-12-13来源:字号:小中大关键词:测试测量CAD电路分析Multisim操作系统 随着计算机技术的迅速发展,计算机辅助设计技术(CAD)已渗透到电子线路设计的各个领域,包括电路图生成、逻辑模拟、电路分析、优化设计、最坏情况分析、印刷板设计等。目前国际上比较流行两个仿真软件:Multisim (EWB的版本)和PSpice。通过对两个软件的认真学习和反复比较,发现二者存在很多差异,下面进行一一说明。 1 Muitisim与PSpice元器件的异同 Muhisim的元器件分为电源/信号源元器件、虚拟元器件和真实元器件3种,电源/信号源器件大多放在电源分类库中;虚拟元器件,其模型参数可以根据用户的需要进行设置,没有具体的封装,印刷电路板软件也没有相应的元器件库,在市场上没有相应的元器件出售;真实元器件具有精确的仿真模型和相应的封装,在印刷板电路设计软件中有相应的元器件库,且在市场上有相应的元器件出售,Multisim提供的元器件都能用于电路的仿真,并且有用于RF仿真的微波器件。 PSpice有4个虚拟元件IPRINT,IPLOT,VPRINT1,VPLOT1,其功能和Multisim中的虚拟仪表有点相似,但只是记录电路中某一点的电流或电压值。PS pice的基本元器件的属性都可以修改。他把元器件分为有仿真模型的和无仿真模型的,只有那些具有仿真模型的才能用于原理图的仿真,其他的就只能用于原理图的绘制。PSpice有一类特殊的元器件:模拟行为模型元器件,用此类元件可以去仿真一块尚未完成或是极复杂的子电路,用户可以自行定义或使用PSpice内已经建好的模拟行为模型元件,他运用描述电路特性的方式而不需要以真实电路来输入与仿真,可大幅精简仿真的时间及复杂度。图1是一个频域模拟行为的电路。对其中的各个模块进行设置后,就可以进行各种仿真。

multisim 数字电路仿真实验电子表电路仿真

Multisim 数字电路仿真实验 电子表电路仿真 汽车工程系汽13班张昊 010975 实验目的 用Multisim的仿真软件,对数字电路进行仿真研究 实验内容 电子表电路的框图如图19.3 所示,其工作要求如下:时钟输入为秒脉冲。秒计数器为60 进制,BCD 码输出。秒计数器的进位脉冲送给分计数器,分计数器也是60 进制,BCD 码输出。分计数器的进位脉冲送给小时计数器,小时计数器是24 进制,BCD 码输出。各计数器的输出送显示译码器,显示译码器的输出送七段数码管。设一个开关,开关合向高电平(+5V 电源),计时开始;开关合向地,各计数器清除。 电子表电路Multisim 仿真设计图如图19.4 所示。其电路结构是:计数器芯片采用74290N,其中U1、U2 组成秒计数器,U3、U4组成分计数器,U5、U6 组成小时计数器。显示译码器采用7448N。开关J1控制计数和清除。其他门电路实现进位或清除逻辑功能。

3.选做实验 (1)修改图19.4 电路,实现时、分、秒的对表逻辑。 (2)自拟一个电路进行仿真实验。 电路分析 本实验中最重要的部分是由两片74LS90组成100以内任意进制计数器的原理。原实验电路图分为两部分,一是计数器部分,二是译码显示部分。 计数器部分由六个74LS90芯片组成的两个60进制计数器和一个24进制计数器级连而成,由秒脉冲使其实现对时,分,秒的计时功能。其中通过逻辑电路保证分钟计数器的输入信号为秒计数器的进位脉冲,时计数器的输入脉冲为分计数器的进位脉冲。 另外,还具有同时手动清零的功能。 译码显示部分由译码器7448N和七段数码显示管组成,实现将计数器的值用数码显示的功能。 对原电路的改进 由上述对原电路各部分功能的分析,为方便实验,在不影响其功能的前提下,我认为有几个地方可以作如下修改。 首先,可以选用四输入的带有译码电路的数码管代替原有译码显示部分,这样可以使得电路更加简洁,便于分析。 第二,原电路的进位逻辑(以秒计数器向分计数器进位为例)为当秒计数器的两个74LS90芯片分别显示6和0时将两者的输出信号作与运算后进位,这样做是保证在秒计数

相关主题
文本预览
相关文档 最新文档