当前位置:文档之家› 数字电子与技术 实验报告

数字电子与技术 实验报告

数字电子与技术   实验报告
数字电子与技术   实验报告

数字电子与技术实验报告

评语

教师:

年月日

学院班级:软件学院

学生学号: 13121094

学生姓名:张楠

同作者: 刘楠

实验日期: 2014-5-11

实验题目: 组合逻辑研究(二)

一、实验目的:

1.了解译码器、数据选择器的工作原理及其功能。

2.掌握用译码器、数据选择器实现组合逻辑电路的方法。

二、实验环境:

1.软件环境:Mulisim 电路仿真软件

2.硬件环境:数字电路试验箱

三、实验内容及实验原理:

本实验主要用了两种MSI 器件:译码器和数据选择器。

1.译码器

译码器是一个多路输入、多路输出的组合逻辑电路,其功能是将输入的一组二进制代码译成与其相应的特定含义(如十进制、地址线、指令等)。常见的MSI 译码器有2-4译码器(74LS139)、3-8译码(74LS138)、4-16译码器(74LS154)等。下面主要介绍3-8译码器74LS138。

2.数据选择器

数据选择器又称多路开关(MUX ),是一个多路输入,单端输出(有的具有互补输出端)的组合逻辑器件。其工作原理类似于一个单刀多掷开关,在地址码(或称选择输入端)的控制下将某一路的输入作为输出,以实现多通道数据传输。数据选择器有74LS157(四2选1MUX ),74LS153(双4选1MUX ),74LS151(8选1MUX ),74LS150(16选1MUX )等。这里主要介绍8选1数据选择器74LS151。

(一)基本命题

1.用3-8译码器74LS138和门电路实现三变量多数表决器电路。

2.用3-8译码器实现函数:

3.用8选1数据选择器74LS151实现函数:

(二) 扩展命题

用3-8译码器74LS138和门电路设计一个数字显示报警电路,要求:用译码、显示电路来显示,装置共有三个报警信号,当第一路有报警信号时,数码管显示1;当第二路有报警信号∑∑==

)

7,6,5,4,2,1()6,4,1(21m F m F (,,,)(0,4,5,8,12,13,14)

F A B C D m =∑

时,数码管显示2;当第三路有报警信号时,数码管显示3;当有两路或两路以上有报警信号时,数码管均显示8;当无报警信号时,数码管显示0。

四、实验结果及其分析:

1.

用74LS138实现的的多数表决器

2.函数表达式分别为:

3.在ABCD 中任选三个变量作为数选器74LS151的地址,另一个变量就反映到了数据输入端。比如选ABC 作为地址变量,则数据输入端表达式为:

五、心得体会与建议:

1.开始时把输入信号的高低位接反了,所以失败了,所以实验时应该注意输入信号从高到低是A3A2A1A0,芯片输入接口从上到下是A0A1A2。

2.在做试验时一定要弄清楚装置的原理和实验步骤,连接电路要小心,在电路连接无误的情况下,若灯不亮先检查一下线是否插紧了。

3.一定要自己多动手做实验连接电路,孰能生巧,多做几次之后便能掌握如何使用这些仪器了。

六、附页(上机实验数据)

3

026411Y Y F Y Y Y F ==D

D D D D D D D D D D ========76543210100

1

74LS138

&F

A B C 2A 1A 013Y 5Y 6Y 7Y A G 2B G 21G 0A

视频编辑实验报告

视频编辑实验 一.实验题目:电影的设计与制作 二.实验目的: 1.学会用会声会影软件制作和编辑视频 2.通过实际演练加强对课堂所学知识的理解。 三.实验步骤: 1.拍摄照片和视频素材; 2.导入媒体文件 (1)打开会声会影,单击界面上的“编辑”按钮 (2)单击“导入媒体文件”按钮 (3)选择媒体文件(视频、音频、图片等)所在的路径,选择要导 入的媒体文件,单击“打开”按钮导入各种素材。 (4)“单击”选中媒体文件,直接拖到视频轨上,单击界面左上方 “预览窗口”中的播放按钮可以预览选中的文件 (5)拖动视频轨上方的滑块可以改变预览窗口中视频进度。 (6)如果需要对视频进行剪辑,拖动上述滑块至选定的起始位置,单 击预览窗口中的剪刀按钮 (7)同理,选择结束位置,再单击剪刀按钮,如此可将视频剪辑成若 干片段,对于无用的片段可以先选中,然后右键鼠标,单击“删除”。 (8)若要产生快/慢镜头效果,可以选中媒体文件,然后压缩/拉伸文 件即可。 3.转场 选中转场方式,拖至视频轨,放在两个媒体文件之间,单击预览窗口可 以预览其效果。

4.标题 (1)如果需要在媒体文件上添加文字,单击“标题”按钮 (2)选中标题,拖动至标题轨,标题文字可以叠加在画面上。 (3)双击修改标题内容 (4)点“编辑“参数来编辑标题 (5)点“属性“来增加动画效果,设置完毕后单击”应用“ 5.滤镜 (1)选中滤镜,直接拖动至视频轨,叠在目标图片 (2)右击图片,选择“摇动和缩放”,可以使图片产生镜头推拉的效果。 6. 处理视频中的背景声音 法一:(1)右击视频片段,选择“分割音频”。 (2)可以看到声音已经被分离,此时可以右击声音文件,直接删除法二:右击视频片段,选择“静音” 在处理过程中,我们这两种方法都用到了。 7、添加背景音乐 (1)选中音频文件,将文件直接拖至音乐轨即可。 (2)通过上述的剪辑方法对音乐的长短进行剪辑。 8、录制画外音 点击选中声音轨道,点击录制/捕获选项,选中画外音,就可以进行录制了。 9、创建视频文件 (1)单击“分享”,单击“创建视频文件” (2)任选一种格式,导出制作好的视频 四.实验体会:

电工和电子技术(A)1实验报告解读

实验一 电位、电压的测定及基尔霍夫定律 1.1电位、电压的测定及电路电位图的绘制 一、实验目的 1.验证电路中电位的相对性、电压的绝对性 2. 掌握电路电位图的绘制方法 三、实验内容 利用DVCC-03实验挂箱上的“基尔霍夫定律/叠加原理”实验电路板,按图1-1接线。 1. 分别将两路直流稳压电源接入电路,令 U 1=6V ,U 2=12V 。(先调准输出电压值,再接入实验线路中。) 2. 以图1-1中的A 点作为电位的参考点,分别测量B 、C 、D 、E 、F 各点的电位值φ及相邻两点之间的电压值U AB 、U BC 、U CD 、U DE 、U EF 及U FA ,数据列于表中。 3. 以D 点作为参考点,重复实验内容2的测量,测得数据列于表中。 图 1-1

四、思考题 若以F点为参考电位点,实验测得各点的电位值;现令E点作为参考电位点,试问此时各点的电位值应有何变化? 答: 五、实验报告 1.根据实验数据,绘制两个电位图形,并对照观察各对应两点间的电压情况。两个电位图的参考点不同,但各点的相对顺序应一致,以便对照。 答: 2. 完成数据表格中的计算,对误差作必要的分析。 答: 3. 总结电位相对性和电压绝对性的结论。 答:

1.2基尔霍夫定律的验证 一、实验目的 1. 验证基尔霍夫定律的正确性,加深对基尔霍夫定律的理解。 2. 学会用电流插头、插座测量各支路电流。 二、实验内容 实验线路与图1-1相同,用DVCC-03挂箱的“基尔霍夫定律/叠加原理”电路板。 1. 实验前先任意设定三条支路电流正方向。如图1-1中的I1、I2、I3的方向已设定。闭合回路的正方向可任意设定。 2. 分别将两路直流稳压源接入电路,令U1=6V,U2=12V。 3. 熟悉电流插头的结构,将电流插头的两端接至数字电流表的“+、-”两端。 4. 将电流插头分别插入三条支路的三个电流插座中,读出并记录电流值。 5. 用直流数字电压表分别测量两路电源及电阻元件上的电压值,记录之。 三、预习思考题 1. 根据图1-1的电路参数,计算出待测的电流I1、I2、I3和各电阻上的电压值,记入表中,以便实验测量时,可正确地选定电流表和电压表的量程。 答: 2. 实验中,若用指针式万用表直流毫安档测各支路电流,在什么情况下可能出现指针反偏,应如何处理?在记录数据时应注意什么?若用直流数字电流表进行测量时,则会有什么显示呢? 答:

数字通信技术实验指导讲解

数字通信技术 实验指导书 电子与信息工程学院 2015年6月

实验一分组交织编码的MATLAB实现 1 实验目的 1、掌握分组交织编码的原理; 2、进一步学习Matlab软件的使用和编程; 3、提高独立设计实验的能力。 2 实验要求 1、课前预习实验,实验原理必须论述清楚; 2、实验报告中列出所有的Matlab源程序并解释代码; 3、实验结果(波形图)必须粘贴在实验报告中; 4、实验报告上写上自己的学号和姓名。 3 实验代码与结果 1、长度≤N的长突发错误通过解交织被离散为随机错误,错误码元之间的最小间隔为M。 s1=[1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24] x1=reshape(s1,4,6) %交织器的输入按列写入 x1(2,4)=0;x1(2,5)=0; x1(2,6)=0;x1(3,1)=0;x1(3,2)=0 %产生长度为5的长突发错误 s2=reshape(x1',1,24) %交织器的输出按行读出 x2=reshape(s2,6,4)' %解交织器的输入按行写入 s3=reshape(x2,1,24) %解交织器的输出按列读出 s3(1,3)=3;s3(1,7)=7; s3(1,14)=14;s3(1,18)=18;s3(1,22)=22 %通过分组码纠正随机错误 a=[s1,s2,s3] %对比三个输出 plot(s1,s2)

2、对于周期性的单个错误(间隔为N),通过解交织后会转化为长度为M的单个长突发错误。 s1=[1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24] x1=reshape(s1,4,6) x1(1,3)=0;x1(2,3)=0; x1(3,3)=0;x1(4,3)=0 s2=reshape(x1',1,24) x2=reshape(s2,6,4)' s3=reshape(x2,1,24) a=[s1,s2,s3] plot(s1,s2)

数字钟设计报告——数字电路实验报告

数字钟设计实验报告 专业:通信工程 姓名:王婧 班级:111041B 学号:111041226

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生 3

电子技术基础实验报告要点

电子技术实验报告 学号: 222014321092015 姓名:刘娟 专业:教育技术学

实验三单级交流放大器(二) 一、实验目的 1. 深入理解放大器的工作原理。 2. 学习测量输入电阻、输出电阻及最大不失真输出电压幅值的方法。 3. 观察电路参数对失真的影响. 4. 学习毫伏表、示波器及信号发生器的使用方法。 二. 实验设备: 1、实验台 2、示波器 3、数字万用表 三、预习要求 1、熟悉单管放大电路。 2、了解饱和失真、截止失真和固有失真的形成及波形。 3、掌握消除失真方法。 四、实验内容及步骤 ●实验前校准示波器,检查信号源。 ●按图3-1接线。 图3-1 1、测量电压参数,计算输入电阻和输出电阻。 ●调整RP2,使V C=Ec/2(取6~7伏),测试V B、V E、V b1的值,填入表3-1中。 表3-1 Array ●输入端接入f=1KHz、V i=20mV的正弦信号。 ●分别测出电阻R1两端对地信号电压V i及V i′按下式计算出输入电阻R i : ●测出负载电阻R L开路时的输出电压V∞,和接入R L(2K)时的输出电压V0 , 然后按下式计算出输 出电阻R0;

将测量数据及实验结果填入表3-2中。 2、观察静态工作点对放大器输出波形的影响,将观察结果分别填入表3-3,3-4中。 ●输入信号不变,用示波器观察正常工作时输出电压V o的波形并描画下来。 ●逐渐减小R P2的阻值,观察输出电压的变化,在输出电压波形出现明显失真时,把失真的波形描 画下来,并说明是哪种失真。( 如果R P2=0Ω后,仍不出现失真,可以加大输入信号V i,或将R b1由100KΩ改为10KΩ,直到出现明显失真波形。) ●逐渐增大R P2的阻值,观察输出电压的变化,在输出电压波形出现明显失真时,把失真波形描画 下来,并说明是哪种失真。如果R P2=1M后,仍不出现失真,可以加大输入信号V i,直到出现明显失真波形。 表 3-3 ●调节R P2使输出电压波形不失真且幅值为最大(这时的电压放大倍数最大),测量此时的静态工 作点V c、V B、V b1和V O 。 表 3-4 五、实验报告 1、分析输入电阻和输出电阻的测试方法。 按照电路图连接好电路后,调节RP2,使Vc的值在6-7V之间,此时使用万用表。接入输入信号1khz 20mv后,用示波器测试Vi与Vi’,记录数据。用公式计算出输入电阻的值。在接入负载RL和不接入负载时分别用示波器测试Vo的值,记录数据,用公式计算出输出电阻的值。 2、讨论静态工作点对放大器输出波形的影响。 静态工作点过低,波形会出现截止失真,即负半轴出现失真;静态工

南邮广播电视工程数字视频非线性编辑制作课程设计实验报告定稿版

南邮广播电视工程数字视频非线性编辑制作课 程设计实验报告精编 W O R D版 IBM system office room 【A0816H-A0912AAAHH-GX8Q8-GNTHHJ8】

通信与信息工程学院 2016 / 2017 学年第一学期 课程设计实验报告 模块名称数字视频非线性编辑制作 专业广播电视工程 学生班级 B130114 学生学号 学生姓名陈超 指导教师姚锡林 日期: 2016 年 11 月 21 日 摘要 本次课程设计利用软件premiere进行数字视频非线性编辑制作。本文首先就本次实验主题归纳总结电视节目制作一般流程方法,接着对此次课程设计主要软件工具进行系统介绍,主要涉及实验相关借本操作的详细阐述;接下来两大章节部分先从取材、构思角度详细分析此次课程设计所做的主题内容与规划,并以此为指导再从具体操作上分步骤、多角度实现视频序列的制作;最后对本次课程设计的体味与收获进行思考。 此次作品《再次出发》电影鉴赏是将导演约翰卡尼的一部经典音乐影视作品利用premiere软件,在制作的过程中添加了转场特效,关键帧,字幕,音频等功能,并运用多种素材,重新删减编辑,形成一部情节连贯,内容完整、主题明确的电影鉴赏短片。短片的片长时间为9分40秒,大小为720*576,AVI格式,PAL制式(48Khz)。

关键词:数字视频非线性编辑制作;premiere;视频制作;

目录 第一章电视节目制作的一般流程与方法 (1) 1.1 电视节目制作一般流程 (1) 1.1.1 前期制作流程 (1) 1.1.2 后期制作工作流程 (2) 1.2 电视节目制作的一般方法 (2) 1.2.1 ENG方式 (2) 1.2.2 EFP方式 (2) 1.2.3 ESP方式 (3) 第二章 Premiere的功能介绍及操作方法 (4) 2.1 Premiere概述 (4) 2.1.1 概述 (4) 2.1.2 基本操作界面 (4) 2.2 Premiere的基本操作 (5) 2.2.1 新建项目 (5) 2.2.2 新建序列 (6)

数字通信系统设计实验报告

实验1:用 Verilog HDL 程序实现乘法器 1实验要求: (1) 编写乘法器的 Veirlog HDL 程序. (2) 编写配套的测试基准. (3) 通过 QuartusII 编译下载到目标 FPGA器件中进行验证 (4) 注意乘法逻辑电路的设计. 2 试验程序: Module multiplier(input rst,input clk,input [3:0]multiplicand, input [3:0]multiplier,input start_sig,output done_sig,output [7:0]result); reg [3:0]i; reg [7:0]r_result; reg r_done_sig; reg [7:0]intermediate; always @ ( posedge clk or negedge rst ) if( !rst ) begin i<=4'b0; r_result<=8'b0; end else if(start_sig) begin case(i) 0: begin intermediate<={4'b0,multiplicand}; r_result<=8'b0; i<=i+1; end 1,2,3,4: begin if(multiplier[i-1]) begin r_result<=r_result+intermediate; end intermediate<={intermediate[6:0],1'b0}; i<=i+1; end 5: begin r_done_sig<=1'b1;

i<=i+1; end 6: begin r_done_sig<=1'b0; i<=1'b0; end endcase end assign result=r_done_sig?r_result:8'bz; assign done_sig=r_done_sig; endmodule3 测试基准: `timescale 1 ps/ 1 ps module multiplier_simulation(); reg clk; reg rst; reg [3:0]multiplicand; reg [3:0]multiplier; reg start_sig; wire done_sig; wire [7:0]result; /***********************************/ initial begin rst = 0; #10; rst = 1; clk = 1; forever #10 clk = ~clk; end /***********************************/ multiplier U1 ( .clk(clk), .rst(rst), .multiplicand(multiplicand), .multiplier(multiplier), .result(result), .done_sig(done_sig), .start_sig(start_sig) ); reg [3:0]i; always @ ( posedge clk or negedge rst ) if( !rst )

数字时钟设计实验报告

电子课程设计题目:数字时钟

数字时钟设计实验报告 一、设计要求: 设计一个24小时制的数字时钟。 要求:计时、显示精度到秒;有校时功能。采用中小规模集成电路设计。 发挥:增加闹钟功能。 二、设计方案: 由秒时钟信号发生器、计时电路和校时电路构成电路。 秒时钟信号发生器可由振荡器和分频器构成。 计时电路中采用两个60进制计数器分别完成秒计时和分计时;24进制计数器完成时计时;采用译码器将计数器的输出译码后送七段数码管显示。 校时电路采用开关控制时、分、秒计数器的时钟信号为校时脉冲以完成校时。 三、电路框图: 图一 数字时钟电路框图 译码器 译码器 译码器 时计数器 (24进制) 分计数器 (60进制) 秒计数器 (60进制) 校 时 电 路 秒信号发生器

四、电路原理图: (一)秒脉冲信号发生器 秒脉冲信号发生器是数字电子钟的核心部分,它的精度和稳定度决定了数字钟的质量。由振荡器与分频器组合产生秒脉冲信号。 ?振荡器: 通常用555定时器与RC构成的多谐振荡器,经过调整输出1000Hz 脉冲。 ?分频器: 分频器功能主要有两个,一是产生标准秒脉冲信号,一是提供功能 扩展电路所需要的信号,选用三片74LS290进行级联,因为每片为1/10分频器,三片级联好获得1Hz标准秒脉冲。其电路图如下: 图二秒脉冲信号发生器 (二)秒、分、时计时器电路设计 秒、分计数器为60进制计数器,小时计数器为24进制计数器。 ?60进制——秒计数器 秒的个位部分为逢十进一,十位部分为逢六进一,从而共同完成60进制计数器。当计数到59时清零并重新开始计数。秒的个位部分的设计:利用十进制计数器CD40110设计10进制计数器显示秒的个位。个位计数器由0增加到9时产生进位,连在十位部计数器脉冲输入端CP,从而实现10进制计数和进位功能。利用74LS161和74LS11设计6进制计数器显示秒的十位,当十位计数器由0增加到5时利用74LS11与门产生一个高电平接到个位、十位的CD40110的清零端,同时产生一个脉冲给分的个位。其电路图如下:

电子技术实验报告—实验4单级放大电路

电子技术实验报告 实验名称:单级放大电路 系别: 班号: 实验者姓名: 学号: 实验日期: 实验报告完成日期: ?

目录 一、实验目的 (3) 二、实验仪器 (3) 三、实验原理 (3) (一)单级低频放大器的模型和性能 (3) (二)放大器参数及其测量方法 (5) 四、实验内容 (7) 1、搭接实验电路 (7) 2、静态工作点的测量和调试 (8) 3、基本放大器的电压放大倍数、输入电阻、输出电阻的测量 (9) 4、放大器上限、下限频率的测量 (10) 5、电流串联负反馈放大器参数测量 (11) 五、思考题 (11) 六、实验总结 (11)

一、实验目的 1.学会在面包板上搭接电路的方法; 2.学习放大电路的调试方法; 3.掌握放大电路的静态工作点、电压放大倍数、输出电阻和通频带测量方法; 4.研究负反馈对放大器性能的影响;了解射级输出器的基本性能; 5.了解静态工作点对输出波形的影响和负载对放大电路倍数的影响。 二、实验仪器 1.示波器1台 2.函数信号发生器1台 3. 直流稳压电源1台 4.数字万用表1台 5.多功能电路实验箱1台 6.交流毫伏表1台 三、实验原理 (一) 单级低频放大器的模型和性能 1. 单级低频放大器的模型 单级低频放大器能将频率从几十Hz~几百kHz的低频信号进行不失真地放大,是放大器中最基本的放大器,单级低频放大器根据性能不同科分为基本放

大器和负反馈放大器。 从放大器的输出端取出信号电压(或电流)经过反馈网络得到反馈信号电压(或电流)送回放大器的输入端称为反馈。若反馈信号的极性与原输入信号的极性相反,则为负反馈。 根据输出端的取样信号(电压或电流)与送回输入端的连接方式(串联或并联)的不同,一般可分为四种反馈类型——电压串联反馈、电流串联反馈、电压并联反馈和电流并联反馈。负反馈是改变房卡器及其他电子系统特性的一种重要手段。负反馈使放大器的净输入信号减小,因此放大器的增益下降;同时改善了放大器的其他性能:提高了增益稳定性,展宽了通频带,减小了非线性失真,以及改变了放大器的输入阻抗和输出阻抗。负反馈对输入阻抗和输出阻抗的影响跟反馈类型有关。由于串联负反馈实在基本放大器的输入回路中串接了一个反馈电压,因而提高了输入阻抗,而并联负反馈是在输入回路上并联了一个反馈电流,从而降低了输入阻抗。凡是电压负反馈都有保持输出电压稳定的趋势,与此恒压相关的是输出阻抗减小;凡是电流负反馈都有保持输出电流稳定的趋势,与此恒流相关的是输出阻抗增大。 2.单级电流串联负反馈放大器与基本放大器的性能比较 电路图2是分压式偏置的共射级基本放大电路,它未引入交流负反馈。 电路图3是在图2的基础上,去掉射极旁路电容C e,这样就引入了电流串联负反馈。

数字电子钟实验报告

咸阳师范学院物理与电子工程学院 课程设计报告 题目: 班级: 姓名: 学号: 指导教师: 成绩: 完成日期:年月

目录 第一章概述 3 第二章数字电子钟的电路原理 4 第三章电路调试与制作11 第四章总结与体会12 第五章附录13

第一章概述 数字钟是采用数字电路实现对.时,分,秒.数字显示的计时装置,广泛用于个人家庭,车站, 码头办公室等公共场所,成为人们日常生活中不可少的必需品,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度,运运超过老式钟表, 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。 虽然市场上已有现成的数字集成电路芯片出售,价格便宜,使用方便,这里所制作的数字电子可以随意设置时,分的输出,是数字电子中具有体积小、耗电省、计时准确、性能稳定、维护方便等优点。 课程设计目的 (1)加强对电子制作的认识,充分掌握和理解设计个部分的工作原理、设计过程、选择芯片器件、电路的焊接与调试等多项知识。 (2)把理论知识与实践相结合,充分发挥个人与团队协作能力,并在实践中锻炼。 (3)提高利用已学知识分析和解决问题的能力。 (4)提高实践动手能力。

第二章数字电子钟的电路原理 数字电子钟的设计与制作主要包括:数码显示电路、计数器与校时电路、时基电路和闹铃报时电路四个部分。 1.数码显示电路 译码和数码显示电路是将数字钟的计时状态直观清晰地反映出来。显示器件选用FTTL-655SB双阴极显示屏组。在计数电路输出信号的驱动下,显示出清晰的数字符号。 2.计数器电路 LM8560是一种大规模时钟集成电路它与双阴极显示屏组可以制成数字钟钟控电路。 3.校时电路 数字钟电路由于秒信号的精确性和稳定性不可能做到完全准确无误,时基电路的误差会累积;又因外部环境对电路的影响,设计产品会产生走时误差的现象。所以,电路中就应该有校准时间功能的电路。通过手动调节按键,达到校准的目的。 4.定时报警电路 当调好定时间后并按下开关K1(白色键),显示屏右下方有红点指示,到定时时间有驱动信号经R3使VT1工作,即可定时报警输出。 芯片资料 LM8560是一种大规模时钟集成电路它与双阴极显示屏组可以制成数字钟钟控电路。作为时钟,它准确醒目;作为控制开关,它动作无误;在1小时59分钟或59分钟内,能任意暂停,使用十分方便。 仔细观察从0-9的每个数字并比较图1所示的笔段。内部电路参看图2, LM8560各脚功能,参看图3。

数字电子技术实验报告汇总

《数字电子技术》实验报告 实验序号:01 实验项目名称:门电路逻辑功能及测试 学号姓名专业、班级 实验地点物联网实验室指导教师时间2016.9.19 一、实验目的 1. 熟悉门电路的逻辑功能、逻辑表达式、逻辑符号、等效逻辑图。 2. 掌握数字电路实验箱及示波器的使用方法。 3、学会检测基本门电路的方法。 二、实验仪器及材料 1、仪器设备:双踪示波器、数字万用表、数字电路实验箱 2. 器件: 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 二输入端四异或门1片 三、预习要求 1. 预习门电路相应的逻辑表达式。 2. 熟悉所用集成电路的引脚排列及用途。 四、实验内容及步骤 实验前按数字电路实验箱使用说明书先检查电源是否正常,然后选择实验用的集成块芯片插入实验箱中对应的IC座,按自己设计的实验接线图接好连线。注意集成块芯片不能插反。线接好后经实验指导教师检查无误方可通电实验。实验中

1.与非门电路逻辑功能的测试 (1)选用双四输入与非门74LS20一片,插入数字电路实验箱中对应的IC座,按图1.1接线、输入端1、2、4、5、分别接到K1~K4的逻辑开关输出插口,输出端接电平显 图 1.1 示发光二极管D1~D4任意一个。 (2)将逻辑开关按表1.1的状态,分别测输出电压及逻辑状态。 表1.1 输入输出 1(k1) 2(k2) 4(k3) 5(k4) Y 电压值(v) H H H H 0 0 L H H H 1 1 L L H H 1 1 L L L H 1 1 L L L L 1 1 2. 异或门逻辑功能的测试

图 1.2 (1)选二输入四异或门电路74LS86,按图1.2接线,输入端1、2、4、5接逻辑开关(K1~K4),输出端A、B、Y接电平显示发光二极管。 (2)将逻辑开关按表1.2的状态,将结果填入表中。 表1.2 输入输出 1(K1) 2(K2) 4(K35(K4) A B Y 电压(V) L H H H H L L L H H H H L L L H H L L L L L H H 1 1 1 1 1 1 1 1

《多媒体技术》实验报告

江西科技师范学院实验报告 课程多媒体技术 院系教育学院 班级2009教育技术 学号20092299 姓名ljh 报告规格 一、实验目的 二、实验原理 三、实验仪器四、实验方法及步骤 五、实验记录及数据处理 六、误差分析及问题讨论

目录 1. 多媒体软件、硬件基础 2. 多媒体素材采集 3. 片头动画 4. 多媒体制作 5. DVD视频光盘制作 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. 每次实验课必须带上此本子,以便教师检查预习情况和记录实验原始数据。 实验时必须遵守实验规则。用正确的理论指导实践袁必须人人亲自动手实验,但反对盲目乱动,更不能无故损坏仪器设备。 这是一份重要的不可多得的自我学习资料袁它将记录着你在大学生涯中的学习和学习成果。请你保留下来,若干年后再翻阅仍将感到十分新鲜,记忆犹新。它将推动你在人生奋斗的道路上永往直前!

年级班学号姓名李进辉同组姓名实验日期2010年月日成绩 实验一:多媒体软件、硬件基础 一、实验课程名称 多媒体技术 二、实验项目名称 多媒体软件、硬件基础 三、实验目的和要求 了解媒体、多媒体概念, 了解多媒体技术软件、硬件相关知识 四、实验内容和原理 理解媒体、多媒体概念,分析并了解多媒体技术软件、硬件 五、主要仪器设备 PC计算机HP PRO2080 六、操作方法与实验步骤 1、多媒体素材制作软件 文字处理:记事本、写字板、Word、WPS 图形图像处理:PhotoShop、CorelDraw、Illustrator 动画制作:AutoDesk Animator Pro、3DS MAX、Maya、Flash 声音处理:Sound Forge、Adobe Audition 、goldwave 视频处理:Adobe Premiere ,Adobe after effects Ulead Media Studio 2、多媒体技术的硬件基础 ⑴新一代的处理器(CPU)。 ⑵光盘存储器(CD-ROM,DVD-ROM)。 ⑶音频信号处理系统,包括声卡、麦克风、音箱、耳机等。 ⑷视频信号处理子系统。 ⑸其它交互设备。如鼠标、游戏操作杆、手写笔、触摸屏等。 七、实验结果与分析、心得 了解了多媒体的硬件和软件基础

通信技术实训报告

南昌工程学院 《通信技术》实训报告 系院信息工程学院 专业通信工程 班级 学生姓名 学号 实习地点 指导教师 实习起止时间:2014 年 6 月9 日至2014 年6 月20 日

目录 一、实训时间 (3) 二、实训地点 (3) 三、实训目的 (3) 四、实训情况简介 (3) 五、实训内容 (4) 六、实训小结或体会 (10)

一、实训时间:从2014 年6 月9 日至2014年6 月20 日 二、实训地点: 三、实训目的 通过本实训了解2M业务在点对点组网方式时候的配置。通过本实训了解2M业务在链型组网方式时候的配置。通过本实训了解2M业务在环形组网方式时候的配置。通过本次实训了解MGW及MSCS数据配置。 SDH技术的诞生有其必然性,随着通信的发展,要求传送的信息不仅是话音,还有文字、数据、图像SDH技术和视频等。加之数字通信和计算机技术的发展,在70至80年代,陆续出现了T1(DS1)/E1载波系统(1.544/2.048Mbps)、X.25帧中继、ISDN(综合业务数字网) 和FDDI(光纤分布式数据接口)等多种网络技术。随着信息社会的到来,人们希望现代信息传输网络能快速、经济、有效地提供各种电路和业务,而上述网络技术由于其业务的单调性,扩展的复杂性,带宽的局限性,仅在原有框架内修改或完善已无济于事。SDH就是在这种背景下发展起来的。在各种宽带光纤接入网技术中,采用了SDH技术的接入网系统是应用最普遍的。SDH的诞生解决了由于入户媒质的带宽限制而跟不上骨干网和用户业务需求的发展,而产生了用户与核心网之间的接入"瓶颈"的问题,同时提高了传输网上大量带宽的利用率。SDH技术自从90年代引入以来,至今已经是一种成熟、标准的技术,在骨干网中被广泛采用,且价格越来越低,在接入网中应用可以将SDH技术在核心网中的巨大带宽优势和技术优势带入接入网领域,充分利用SDH 同步复用、标准化的光接口、强大的网管能力、灵活网络拓扑能力和高可靠性带来好处,在接入网的建设发展中长期受益。我们了解SDH的光传输及MGW及MSCS数据配置对我们加深理论知识理解有帮助。 四、实训情况简介 经学校安排, 于2014年6月9号至2014年6月20号期间在电子信息楼B404进行了实训,实训工作内容为SDH点对点组网配置实验,SDH链型组网配置实验,SDH环形组网配置实验以及MGW和MSCS数据配置实验。现总结如下: 实验进行了两周,第一周是移动数据配置,第二周是光传输实验,每次老师先给我们讲解原理,还有注意事项等,我了解了原理之后按照指导书在配置环境下按指导书配置。配置

数字电子钟课程设计实验报告

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计任务书2016/2017 学年第一学期 学生姓名:张涛学号: 李子鹏学号: 课程设计题目:数字电子钟的设计 起迄日期:2017年1月4日~2017年7月10日 课程设计地点:科学楼 指导教师:姚爱琴 2017年月日 课程设计任务书

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计开题报告2016/2017 学年第一学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号:

指导教师:姚爱琴 2017 年 1 月 6 日 中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计说明书2016/2017 学年第二学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号: 指导教师:姚爱琴 2017 年月日

目录 1 引言 (6) 2 数字电子钟设计方案 (6) 2.1 数字计时器的设计思想 (6) 2.2数字电路设计及元器件参数选择 (6) 2.2.2 时、分、秒计数器 (7) 2.2.3 计数显示电路 (8) 2.2.5 整点报时电路 (10) 2.2.6 总体电路 (10) 2.3 安装与调试 (11) 2.3.1 数字电子钟PCB图 (11) 3 设计单元原理说明 (11) 3.1 555定时器原理 (12) 3.2 计数器原理 (12) 3.3 译码和数码显示电路原理 (12) 3.4 校时电路原理 (12) 4 心得与体会 (12) 1 引言 数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。 2数字电子钟设计方案 2.1 数字计时器的设计思想 要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。经过分频器输出的秒脉冲信号到计数器中进行计数。由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,就需要分别设计60进制,24进制计数器,并发出驱动信号。各计数器输出信号经译码器、驱动器到数字显示器,是“时”、“分”、“秒”得以数字显示出来。 值得注意的是:任何记时装置都有误差,因此应考虑校准时间电路。校时电路一般

电工电子技术实验报告

电工电子技术实验报告 学院 班级 学号 姓名 天津工业大学电气工程与自动化学院电工教学部 二零一三年九月

目录 第一项实验室规则------------------------------------------------------------------ i 第二项实验报告的要求------------------------------------------------------------ i 第三项学生课前应做的准备工作------------------------------------------------ii 第四项基本实验技能和要求----------------------------------------------------- ii 实验一叠加定理和戴维南定理的研究------------------------------------------ 1实验二串联交流电路和改善电路功率因数的研究--------------------------- 7实验三电动机的起动、点动、正反转和时间控制--------------------------- 14实验四继电接触器综合性-设计性实验----------------------------------------20 实验五常用电子仪器的使用---------------------------------------------------- 22实验六单管低频电压放大器---------------------------------------------------- 29实验七集成门电路及其应用---------------------------------------------------- 33 实验八组合逻辑电路------------------------------------------------------------- 37实验九触发器及其应用---------------------------------------------------------- 40 实验十四人抢答器---------------------------------------------------------------- 45附录实验用集成芯片---------------------------------------------------------- 50

通信原理 数字基带传输实验报告

基带传输系统实验报告 一、 实验目的 1、 提高独立学习的能力; 2、 培养发现问题、解决问题和分析问题的能力; 3、 学习matlab 的使用; 4、 掌握基带数字传输系统的仿真方法; 5、 熟悉基带传输系统的基本结构; 6、 掌握带限信道的仿真以及性能分析; 7、 通过观察眼图和星座图判断信号的传输质量。 二、 实验原理 在数字通信中,有些场合可以不经载波调制和解调过程而直接传输基带信号,这种直接传输基带信号的系统称为基带传输系统。 基带传输系统方框图如下: 基带脉冲输入 噪声 基带传输系统模型如下: 信道信号 形成器 信道 接收 滤波器 抽样 判决器 同步 提取 基带脉冲

各方框的功能如下: (1)信道信号形成器(发送滤波器):产生适合于信道传输的基带信号波形。因为其输入一般是经过码型编码器产生的传输码,相应的基本波形通常是矩形脉 冲,其频谱很宽,不利于传输。发送滤波器用于压缩输入信号频带,把传输 码变换成适宜于信道传输的基带信号波形。 (2)信道:是基带信号传输的媒介,通常为有限信道,如双绞线、同轴电缆等。信道的传输特性一般不满足无失真传输条件,因此会引起传输波形的失真。另 外信道还会引入噪声n(t),一般认为它是均值为零的高斯白噪声。 (3)接收滤波器:接受信号,尽可能滤除信道噪声和其他干扰,对信道特性进行均衡,使输出的基带波形有利于抽样判决。 (4)抽样判决器:在传输特性不理想及噪声背景下,在规定时刻(由位定时脉冲控制)对接收滤波器的输出波形进行抽样判决,以恢复或再生基带信号。 (5)定时脉冲和同步提取:用来抽样的位定时脉冲依靠同步提取电路从接收信号中提取。 三、实验内容 1采用窗函数法和频率抽样法设计线性相位的升余弦滚讲的基带系统(不调用滤波器设计函数,自己编写程序) 设滤波器长度为N=31,时域抽样频率错误!未找到引用源。o为4 /Ts,滚降系数分别取为0.1、0.5、1, (1)如果采用非匹配滤波器形式设计升余弦滚降的基带系统,计算并画出此发送滤波器的时域波形和频率特性,计算第一零点带宽和第一旁瓣衰减。 (2)如果采用匹配滤波器形式设计升余弦滚降的基带系统,计算并画出此发送滤波器的时域波形和频率特性,计算第一零点带宽和第一旁瓣衰减。 (1)非匹配滤波器 窗函数法: 子函数程序: function[Hf,hn,Hw,w]=umfw(N,Ts,a)

模拟电子技术实验报告

姓名:赵晓磊学号:1120130376 班级:02311301 科目:模拟电子技术实验B 实验二:EDA实验 一、实验目的 1.了解EDA技术的发展、应用概述。 2. 掌握Multisim 1 3.0 软件的使用,完成对电路图的仿真测试。 二、实验电路

三、试验软件与环境 Multisim 13.0 Windows 7 (x64) 四、实验内容与步骤 1.实验内容 了解元件工具箱中常用的器件的调用、参数选择。 调用各类仿真仪表,掌握各类仿真仪表控制面板的功能。 完成实验指导书中实验四两级放大电路实验(不带负反馈)。 2.实验步骤 测量两级放大电路静态工作点,要求调整后Uc1 = 10V。 测定空载和带载两种情况下的电压放大倍数,用示波器观察输入电压和输出电压的相位关系。 测输入电阻Ri,其中Rs = 2kΩ。 测输出电阻Ro。 测量两级放大电路的通频带。 五、实验结果 1. 两级放大电路静态工作点 断开us,Ui+端对地短路

2. 空载和带载两种情况下的电压放大倍数接入us,Rs = 0 带载: 负载: 经过比较,输入电压和输出电压同相。 3. 测输入电阻Ri Rs = 2kΩ,RL = ∞ Ui = 1.701mV

Ri = Ui/(Us-Ui)*Rs = 11.38kΩ 4. 测输出电阻Ro Rs = 0 RL = ∞,Uo’=979.3mV RL = 4.7kΩ,Uo = 716.7mV Ro = (Uo’/Uo - 1)*R = 1.72kΩ 5. 测量两级放大电路的通频带电路最大增益49.77dB 下限截止频率fL = 75.704Hz 上限截止频率fH = 54.483kHz 六、实验收获、体会与建议

数字信号光纤通信技术实验报告

数字信号光纤通信技术实验的报告 预习要求 通过预习应理解以下几个问题: 1.数字信号光纤传输系统的基本结构及工作过程; 2.衡量数字通信系统有那两个指标?; 3.数字通信系统中误码是怎样产生的?; 4.为什么高速传输系统总是与宽带信道对应?; 5.引起光纤中码元加宽有那些因素?; 6.本实验系统数字信号光-电/电-光转换电路的工作原理; 7.为什么在数字信号通信系统中要对被传的数据进行编码和解码?; 8.时钟提取电路的工作原理。 目的要求 1.了解数字信号光纤通信技术的基本原理 2.掌握数字信号光纤通信技术实验系统的检测及调试技术 实验原理 一、数字信号光纤通信的基本原理 数字信号光纤通信的基本原理如图8-2-1示(图中仅画出一个方向的信道)。工作的基本过程如下:语音信号经模/数转换成8位二进制数码送至信号发送电路,加上起始位(低电平)和终止位(高电平)后,在发时钟TxC的作用下以串行方式从数据发送电路输出。此时输出的数码称为数据码,其码元结构是随机的。为了克服这些随机数据码出现长0或长1码元时,使接收端数字信号的时钟信息下降给时钟提取带来的困难,在对数据码进行电/光转换之前还需按一定规则进行编码,使传送至接收端的数字信号中的长1或长0码元个数在规定数目内。由编码电路输出的信号称为线路码信号。线路码数字信号在接收端经过光/电转换后形成的数字电信号一方面送到解码电路进行解码,与此同时也被送至一个高Q值的RLC谐振选频电路进行时钟提取. RLC谐振选频电路的谐振频率设计在线路码的时钟频率处。由时钟提取电路输出的时钟信号作为收时钟RxC,其作用有两个:1.为解码电路对接收端的线路码进行解码时提供时钟信号;2.为数字信号接收电路对由解码电路输出的再生数据码进行码值判别时提供时钟信号。接收端收到的最终数字信号,经过数/模转换恢复成原来的语音信号。 图8-2-1 数字信号光纤通信系统的结构框图 在单极性不归零码的数字信号表示中,用高电平表示1码元,低电平表示0码元。码元持续时间(亦称码元宽度)与发时钟TxC的周期相同。为了增大通信系统的传输容量,就要求提高收、发时钟的频率。发时钟频率愈高码元宽度愈窄。 由于光纤信道的带宽有限,数字信号经过光纤信道传输到接收端后,其码元宽度要加宽。加宽程度由光纤信道的频率特性和传输距离决定。单模光纤频带宽,多模光纤频带窄。因为按光波导理论[1]分析:光纤是一种圆柱形介质波导,光在其中传播时实际上是一群满足麦克斯韦方程和纤芯—包层界面处边界条件的电磁波,每个这样的电磁波称为一个模式。光纤中允许存在的模式的数量与纤芯半径和数字孔径有关。纤芯半径和数字孔径愈大,光纤中参与光信号传输的模式也愈多,这种光纤称为多模光纤(芯径50或62.5μm)。多模光纤中每个模式沿光纤轴线方向的传播速度都不相同。因此,在光纤信道的输入端同时激励起多个模式时,每个模式携带的光功率到达光纤信道终点的时间也不一样,从而引起了数字信号码元的加宽。码元加

相关主题
相关文档 最新文档