当前位置:文档之家› 第十三章 几种常用的时序逻辑电路

第十三章 几种常用的时序逻辑电路

第十三章 几种常用的时序逻辑电路
第十三章 几种常用的时序逻辑电路

第十三章几种常用的时序逻辑电路

一、填空题

1.与组合逻辑电路不同,时序逻辑电路的特点是:任何时刻的输出信号不仅与____________有关,还与____________有关,是______(a.有记忆性b.无记忆性)逻辑电路。

2.触发器是数字电路中______(a.有记忆 b.非记忆)的基本逻辑单元。

3.在外加输入信号作用下,触发器可从一种稳定状态转换为另一种稳定状态,信号终止,稳态_________(a.不能保持下去 b. 仍能保持下去)。

4. JK触发器是________(a.CP为1有效b.CP边沿有效)。

+=+是_______触发器的特性方程。

5.1n n n

Q JQ KQ

6.1n n

+=+是________触发器的特性方程,其约束条件为Q S RQ

___________。

+=+是_____触发器的特征方程。

7.1n n n

Q TQ TQ

8.在T触发器中,若使T=____,则每输入一个CP,触发器状态就翻转一次,这种具有翻转功能的触发器称为'T触发器,它的特征方程是________________。

9.我们可以用JK触发器转换成其他逻辑功能触发器,令

__________________,即转换成T触发器;令_______________,

即转换为'T触发器;令________________,即转换成D触发器。10.我们可以用D触发器转换成其他逻辑功能触发器,令

__________________,即转换成T触发器;令_______________,

即转换为'T触发器。

11.寄存器存放数据的方式有____________和___________;取出数据的方式有____________和___________。

12.寄存器分为_________寄存器和__________寄存器。

13.双拍工作方式的数码寄存器工作时需_____________。

14.按计数器中各触发器翻转时间可分为_________,________。 15.在计数过程中,利用反馈提供置数信号,使计数器将指定数置入,并由此状态继续计数,可构成N 进制计数器,该方法有________置数和________置数两种。

16.将模为M 和N 的两片计数器________(a.串接 b.并接),可扩展成_________进制的计数器。

17.触发器有______个稳定状态,所以也称____________。 18. 74LS194是____________寄存器。 二、选择题

1. Q =1,0Q =,称为触发器的( )。

A.1态

B.0态

C.稳态

D.暂稳态

2.在下列触发器中,有约束条件的是( )。

A.JK 触发器

B.D 触发器

C.同步RS 触发器

D.T 触发器 3.一个触发器可记录一位二进制代码,它有( )个稳态。 A.0 B.1 C.2 D.3 4.存储8位二进制信息要( )个触发器。

A.2

B.4

C.8

D.16 5.对于T 触发器,若原态Q n =0,欲使新态Q n +1=1,应使输入

T =( )。

A.0

B.1

C.Q

D.以上都不对 6.对于T 触发器,若原态Q n =1,欲使新态Q n +1=1,应使输入

T =( )。

A.0

B.1

C.Q

D.以上都不对 7.对于D 触发器,欲使Q n +1=Q n ,应使输入D =( )。 A.0 B.1 C.Q D.Q

8.对于J K 触发器(特性方程1n n n

Q J Q K Q +=+),若J =K ,则可

完成( )触发器的逻辑功能。

A.RS

B.D

C.T

D.T ˊ

9.欲使JK 触发器(特性方程1n n n Q J Q K Q +=+)按Q n +1=Q n 工作,

不可使J K 触发器的输入端( )。

A.J=K=1

B.J =Q,K =Q

C. J=0,K=Q

D.J=Q,K=0

10.欲使J K 触发器(特性方程1n n n Q J Q K Q +=+)按Q n +1=Q n 工作,

可使J K触发器的输入端()。

A.J=K=0

B. J=1,K=Q

C.J=K=Q,

D.J=Q,K=0

+=+)按Q n+1=0工作,11.欲使J K触发器(特性方程1n n n

Q J Q K Q

可使J K触发器的输入端()。

A.J=K=0

B.J=Q,K=0

C.J=Q,K=1

D.J=K=1

+=+)按Q n+1=1工作,12.欲使J K触发器(特性方程1n n n

Q J Q K Q

可使J K触发器的输入端()。

A.J=K=1

B.J=K=0

C.J=K=Q

D. J=Q,K=0

13.欲使D触发器按Q n+1=Q n工作,应使输入D=()。

A.0

B.1

C.Q

D.Q

14.下列触发器中,不能在C P上升/下降沿翻转从而克服了空翻现象的是()。

A.边沿D触发器

B.基本R S触发器

C.J K触发器

D.T触发器

15.下列触发器中,没有约束条件的是()。

A.基本R S触发器

B.主从R S触发器

C.同步R S触发器

D.边沿D触发器

16.描述触发器的逻辑功能的方法没有()。

A.状态转换真值表

B.特性方程

C.状态转换图

D.触发脉冲信号

17.为实现将J K触发器转换为D触发器,应使()。

A.J=D,K=D

B. K=D,J=D

C.J=K=D

D.J=K=D

18. D触发器是一种()稳态电路。

A.无

B.单

C.双

D.多

19.实验中用的功能较强的74LS194是()。

A.右移寄存器

B.左移寄存器

C.双向移位寄存器

D.数码寄存器

20.集成同步二进制计数器74LS161不具有______功能。

A.置数B.保持C.清零D.锁存

三、判断题

1.时序逻辑电路的特点是任何时刻的输出信号仅与电路原来状态有关。()

2.触发器是数字电路中具有记忆功能的基本逻辑单元。()

3.触发器输出端有两个稳定状态,即0态和1态。()

4.触发器也称单稳态触发器。()

5.触发器的外加输入信号终止后,稳态仍能保持下去。()

6. 74LS163是4位二进制异步计数器。()

7.边沿触发器的状态变化发生在CP上升沿或下降沿到来时刻,其他时间触发器状态均不变。()

8. JK触发器属于边沿触发器,CP上升沿或下降沿时有效。

()

9.令J=K=T=1,可将JK触发器转换成T触发器。()

10.寄存器存放数据的方式只有并行一种。()

11.寄存器取出数据的方式有并行和串行输出两种。()

12.计数器可用于累计输入脉冲个数,分频,定时,执行数字运算等,

应用广泛。()

13. 74LS161是集成同步二进制计数器。()

14.基本RS触发器的约束条件是1

RS=。()

15.反馈清零法是在计数过程中利用某个中间状态反馈到清零端,迫

使计数器返回到0,再重新开始计数。()

四、简答题

1.图示是用与非门组成的基本RS触发器

试根据其特性表,并写出特性方程和约束条件。

2. 用JK 触发器(特性方程1n n n

Q J Q K Q +

=+)

可以

转换成其

他逻辑功能触发器,适当连接给出的JK 触发器的输入端分别将其转换成: 1).T 触发器(1n n n Q TQ TQ +=+) 2).T ’触发器(1n n Q Q +=) 3).D 触发器(1n Q D +=)

3.写出JK 触发器,T 触发器,T ’触发器,D 触发器的特性方程。

4.同步计数器的同步是指什么?

5.将两个二输入与非门的输出接回到对方的输入之一,则可组成什么触发器?试列出其特性表

6.基本RS触发器如图所示,试画出Q对应R和的波形(设Q的初态为0)。

7.同步RS触发器(CP=1时R和S信号有效且等同与基本RS触发器)如图所示,试画出Q对应R和S的波形(设Q的初态为0)。

8.用2个或非门也可以组成基本RS触发器。

1).试画出逻辑电路。

2).试列出其特性表。

9.已知CP、D的波形如图题5-6,试画出高电平有效和上升沿有效D触发器Q的波形(设Q的初态为0)。

10.设图中的触发器的初态均为0,试画出Q端的波形。

11.设图中的触发器的初态均为0,试画出对应A、B的X、Y的波形。

+=+,D触发器的特性方程是12.基本RS触发器的特性方程是:1n n

Q S RQ

n

1

+=,比较这两个方程,试将基本RS触发器转换为D触发器。

Q D

13.由或非门组成的基本RS触发器输入波形如图所试,试画出输出Q和Q端的波形。设触发器的初始状态为Q=0。

+=,CP上升沿有效,CP=1时有效)的输入波形如图所14.同步D触发器(1n

Q D

示,试画出输出Q和Q的波形。设触发器的初始状态为Q=0。

15. TTL边沿JK触发器如图(a)所示,输入CP、J、K端的波形如图(b)所示,试对应画出输出Q和Q端的波形。设触发器的初始状态为Q=0。

16.电路如图(a)所示,输入CP、A、B的波形如图(b)所示,试画出Q和Q端的输出波形。设触发器的初始状态为Q=0。

17.如图所示各边沿D触发的初始状态都为0,试对应输入CP波形画出Q端的输出波形。

18.如图所示各边沿JK 触发器的初始状态都为1,试对应CP 波形画出Q 端的输出波形(1n n n Q JQ KQ +=+)。

19.下降沿触发的边沿JK 触发器的输入CP 、J 、K 和R D 端的波形如图所示(1n n n Q JQ KQ +=+),D R 为异步置0端,低电平有效。试画出输出Q 端的波形。设触发器的初始状态Q=0,且1=D S 。

20.我们经常要对计数器电路进行分析。当给你一个逻辑电路的实例时,大体分析步骤如何?

21.电路如图(a)所示,输入时钟脉冲CP如图(b)所示,试画出输出Q

0和Q

1

端的

波形。设触发器的初始状态Q

0=Q

1

=0。

22.移位寄存器有哪几种?

23.在图(a)所示的边沿触发器中,输入CP、D端的波形如图(b)所示,试画出Q

和Q

1端的输出波形。设触发器的初始状态为Q

=Q

1

=0。

24.图示是用反馈同步置数法构成的N进制计数器,是多少进制?

25.图示是用反馈同步置数法构成的N进制计数器,是多少进制?

27.试用D触发器转换成T触发器,并画出电路图。

五、计算题

1.试分析图所示的时序电路

1).写出驱动方程

2).写出状态方程

3).列出状态转换表

4).画出状态转换图

5).说明其逻辑功能

2. 74LS163是4位二进制同步计数器(同步清零),试分析图示电路是几进制计数器。

3. 74LS163是4位二进制同步计数器(同步清零),试分析图示电路是几进制计数器。

4. 74LS161是同步二进制计数器,异步反馈清零。试回答下面的计数器构成了几进制?

1).

2).

第十三章 几种常用的时序逻辑电路

第十三章几种常用的时序逻辑电路 一、填空题 1.与组合逻辑电路不同,时序逻辑电路的特点是:任何时刻的输出信号不仅与____________有关,还与____________有关,是______(a.有记忆性b.无记忆性)逻辑电路。 2.触发器是数字电路中______(a.有记忆 b.非记忆)的基本逻辑单元。 3.在外加输入信号作用下,触发器可从一种稳定状态转换为另一种稳定状态,信号终止,稳态_________(a.不能保持下去 b. 仍能保持下去)。 4. JK触发器是________(a.CP为1有效b.CP边沿有效)。 +=+是_______触发器的特性方程。 5.1n n n Q JQ KQ 6.1n n +=+是________触发器的特性方程,其约束条件为Q S RQ ___________。 +=+是_____触发器的特征方程。 7.1n n n Q TQ TQ 8.在T触发器中,若使T=____,则每输入一个CP,触发器状态就翻转一次,这种具有翻转功能的触发器称为'T触发器,它的特征方程是________________。 9.我们可以用JK触发器转换成其他逻辑功能触发器,令 __________________,即转换成T触发器;令_______________, 即转换为'T触发器;令________________,即转换成D触发器。10.我们可以用D触发器转换成其他逻辑功能触发器,令 __________________,即转换成T触发器;令_______________, 即转换为'T触发器。 11.寄存器存放数据的方式有____________和___________;取出数据的方式有____________和___________。 12.寄存器分为_________寄存器和__________寄存器。 13.双拍工作方式的数码寄存器工作时需_____________。

时序逻辑电路试题

第五章时序电路 一、选择题 1.同步计数器和异步计数器比较,同步计数器的显著优点是。 A.工作速度高 B.触发器利用率高 C.电路简单 D.不受时钟C P控制。 2.把一个五进制计数器与一个四进制计数器串联可得到进制计数器。 3.下列逻辑电路中为时序逻辑电路的是。 A.变量译码器 B.加法器 C.数码寄存器 D.数据选择器 4.N个触发器可以构成最大计数长度(进制数)为的计数器。 》 5.N个触发器可以构成能寄存位二进制数码的寄存器。 +1 6.五个D触发器构成环形计数器,其计数长度为。 7.同步时序电路和异步时序电路比较,其差异在于后者。 A.没有触发器 B.没有统一的时钟脉冲控制 C.没有稳定状态 D.输出只与内部状态有关 8.一位8421B C D码计数器至少需要个触发器。 [ 9.欲设计0,1,2,3,4,5,6,7这几个数的计数器,如果设计合理,采用同 步二进制计数器,最少应使用级触发器。 10.8位移位寄存器,串行输入时经个脉冲后,8位数码全部移入寄存器中。 11.用二进制异步计数器从0做加法,计到十进制数178,则最少需要个触发器。 12.某电视机水平-垂直扫描发生器需要一个分频器将31500H Z的脉冲转换为60H Z的脉冲,欲构成此分频器至少需要个触发器。

13.某移位寄存器的时钟脉冲频率为100K H Z ,欲将存放在该寄存器中的数左移8 位,完成该操作需要 时间。 μS μS μS [ 14.若用J K 触发器来实现特性方程为AB Q A Q n 1n +=+,则J K 端的方程为 。 =A B ,K =B A + =A B ,K =B A =B A +,K =A B =B A ,K =A B 15.要产生10个顺序脉冲,若用四位双向移位寄存器CT74LS194来实现,需要 片。 16.若要设计一个脉冲序列为10的序列脉冲发生器,应选用 个触发器。 二、判断题(正确打√,错误的打×) 1.同步时序电路由组合电路和存储器两部分组成。( ) 2.组合电路不含有记忆功能的器件。( ) ~ 3.时序电路不含有记忆功能的器件。( ) 4.同步时序电路具有统一的时钟CP 控制。( ) 5.异步时序电路的各级触发器类型不同。( ) 6.环形计数器在每个时钟脉冲CP 作用时,仅有一位触发器发生状态更新。( ) 7.环形计数器如果不作自启动修改,则总有孤立状态存在。( ) 8.计数器的模是指构成计数器的触发器的个数。( ) 9.计数器的模是指对输入的计数脉冲的个数。( ) 10.D 触发器的特征方程Q n +1=D ,而与Q n 无关,所以,D 触发器不是时序电路。( ) 11.在同步时序电路的设计中,若最简状态表中的状态数为2N ,而又是用N 级 触发器来实现其电路,则不需检查电路的自启动性。( ) 12.把一个5进制计数器与一个10进制计数器串联可得到15进制计数器。( ) < 13.同步二进制计数器的电路比异步二进制计数器复杂,所以实际应用中较少使 用同步二进制计数器。( ) 14.利用反馈归零法获得N 进制计数器时,若为异步置零方式,则状态S N 只是 短暂的过渡状态,不能稳定而是立刻变为0状态。( )

第六章 时序逻辑电路(阎)

第六章时序逻辑电路

6.1 概述 一、时序逻辑电路的特点 1.功能上:任一时刻的输出不仅取决于该时刻的输入, 还与电路原来的状态有关。 例:串行加法器,两个多位数从低位到高位逐位相加 2. 电路结构上 ①一定包含存储电路 ②存储器状态和输入变量共同决 定输出.

二、时序电路的一般结构形式与功能描述方法

可以用三个方程组来描述:?????===),...,,,...,,(... ),...,,,,....,,() ,(21211212111l j l i q q q x x f y q q q x x x f y Q X F Y 输出方程?????===),...,,,,...,,(...),...,,,,...,,(),(21211212111l i k l i q q q x x x g z q q q x x x g z Q X F Y 驱动方程?????===+++) ,...,,,,...,,(...),...,,,,...,,() ,(2121121211111n l n n i l n l n l n n i n n n q q q z z z h q q q q z z z h q Q Z H Q 状态方程

三、时序电路的分类 1、同步时序电路与异步时序电路 同步:存储电路中所有触发器的时钟使用统一的cp, 触发器状态变化发生在同一时刻。 异步:没有统一的cp,触发器状态的变化有先有后。 2、Mealy 型和Moore 型 Mealy 型:Moore 型:仅取决于电路状态有关、与) Q (F Y Q X ) Q ,X (F Y ==

电子技术——几种常用的时序逻辑电路习题及答案

第七章 几种常用的时序逻辑电路 一、填空题 1.(9-1易)与组合逻辑电路不同,时序逻辑电路的特点是:任何时刻的输出信号不仅与____________有关,还与____________有关,是______(a.有记忆性b.无记忆性)逻辑电路。 2.(9-1易)触发器是数字电路中______(a.有记忆b.非记忆)的基本逻辑单元。 3.(9-1易)在外加输入信号作用下,触发器可从一种稳定状态转换为另一种稳定状态,信号终止,稳态_________(a.不能保持下去 b. 仍能保持下去)。 4.(9-1中)JK 触发器是________(a.CP 为1有效b.CP 边沿有效)。 5.(9-1易)1n n n Q JQ KQ +=+是_______触发器的特性方程。 6.(9-1中)1n n Q S RQ +=+是________触发器的特性方程,其约束条件为___________。 7.(9-1易)1n n n Q TQ TQ +=+是_____触发器的特征方程。 8. (9-1中)在T 触发器中,若使T=____,则每输入一个CP ,触发器状态就翻转一次,这种具有翻转功能的触发器称为'T 触发器,它的特征方程是________________。 9.(9-1难)我们可以用JK 触发器转换成其他逻辑功能触发器,令 __________________,即转换成T 触发器;令_______________, 即转换为'T 触发器;令________________,即转换成D 触发器。 10.(9-1难)我们可以用D 触发器转换成其他逻辑功能触发器,令 __________________,即转换成T 触发器;令_______________, 即转换为'T 触发器。

第五章时序逻辑电路

第五章时序逻辑电路

第五章 触发器 本章教学目的、要求: 1. 掌握各种触发器的逻辑功能和工作原理。 2. 熟悉各种触发器的电路结构及动作特点。 3. 了解不同功能触发器之间的相互转换。 重点:触发器的逻辑功能和动作特点。 难点:触发器的不同电路结构及各自的动作特点。 第一节 概 述 触发器:(Flip-Flop)能存储一位二进制信号的基本单元。用FF 表示。 特点: 1.具有两个能自行保持的稳定状态,用来表示逻辑状态的0和1,或二进制数的0和1。 2.根据不同的输入信号可以置成 1 或 0 状态。 根据电路结构不同分为:基本RS 触发器、同步RS 触发器、主从触发器、边沿触发器。 按逻辑功能分:RSFF 、DFF 、JKFF 、TFF 等。 3.根据存储数据的原理不同分为:静态触发器和动态触发器。 第二节 SR 锁存器 一、电路结构与工作原理 1.电路结构和工作原理: 触发器的1状态:0,1='=Q Q 触发器的0状态:1,0='=Q Q ① 当R'D =0, S' D =1时,无论触发器原来处于什么状态,其次态一定为0,即Q =0,Q' =1,称触发器处于置0(复位)状态。 ② 当R'D =1,S'D =0时,无论触发器原来处于什么状态,其次态一定为1,即Q =1,Q'=0, S R 图形符号 Q Q ' D 'S D 'R 置位端 或置1 复位端 或 Q Q ' D 'S D 'R 电路结构

称触发器处于置1(置位)状态。 ③ 当R'D =1,S'D =1时,触发器状态不变,即Q *=Q ,称触发器处于保持(记忆)状态。 ④ 当R'D =0,S'D =0时,两个与非门输出均为1(高电平),此时破坏了触发器的互补输出关系,而且当R'D 、S'D 同时从0变化为1时,由于门的延迟时间不一致,使触发器的次态不确定,即Q *=?,这种情况是不允许的。因此规定输入信号R'D 、S'D 不能同时为0,它们应遵循R'D + S'D =1的约束条件。 从以上分析可见,基本RS 触发器具有置0、置1和保持的逻辑功能,通常称S'D 为置1端或置位(SET)端,R'D 称为置0或复位(RESET)端,因此该触发器又称为置位—复位(SetReset)触发器或R D S D 触发器,其逻辑符号如上图所示。因为它是以R'D 和S'D 为低电平时被清0和置1的,所以称R'D 、S'D 低电平有效,且在图中输入端加有小圆圈。 2.逻辑功能的描述 ①特性表 用与非门构成的基本RSFF 也可用右表描述。 只需将表中的R'D 和S'D 看作是该触发器输入信号 ②特性方程: ③状态转换图:(简称状态图) *='+=D D D D R S Q R S Q R = 0 R = ×S =0S =× R =0 R = 1S = 0 置1 置0 不允许 保持

第6章-时序逻辑电路.

6 时序逻辑电路 6.1.1 已知一时序电路的状态表如表题6.1.1所示,A为输入信号,试作出相应的状态图。 解:由状态图的概念及已知的状态表,可画出对应的状态图,如图题解6.1.1所示。 6.1.2已知状态表如表题6.1.2所示,输入为X1X0,试作出相应的状态图。 解:根据表题6.1.2所示的状态表,作出对应的状态图如图题解6.1.2所示。

6.1.3已知状态图如图题6.1.3所示,试列出它的状态表。 解:按图题6.1.3列出的状态表如表题解6.1.3所示。 6.1.5 图题6.1.5所示是某时序电路的状态图,设电路的初始状态为01,当序列A=100110(自左至右输入)时,求该 电路输出Z的序列。 解:由图题6.1.5所示的状态图可知,当初态为01,输入信号的序列A=100110时,该时序 电路将按图题解6.1.5所示的顺序改变状态,因而对应的输出序列为Z=011010。

6.1.6已知某时序电路的状态表如表题6.1.6所示,输入A,试画出它的状态图。如果电路的初始状态在b,输入信号A一次是0、1、0、1、1、1、1,试求出其相应的输出。 解:根据表题6.1.6所示的状态表,可直接画出与其对应的状态图,如图题解6.1.6(a)当从初态b开始,依次输入0、1、0、1、1、1、1信号时,该时序电路将按图题解6.1.6(b)所示的顺序改变状态,因而其对应的输出为1、0、1、0、1、0、1。 6.2 同步时序逻辑电路的分析 6.2.1 试分析图题6.2.1(a)所示时序电路,画出其状态表和状态图。设电路的初始状态为0,试画出6.2.1(b)所示波形作用下,Q和Z的波形图。

时序逻辑电路51时序逻辑电路的基本概念1时序逻辑电路

第5章时序逻辑电路 5.1 时序逻辑电路的基本概念 1.时序逻辑电路的结构及特点 时序逻辑电路在任何时刻的输出状态不仅取决于当时的输入信号,还与电路的原状态有关,触发器就是最简单的时序逻辑电路,时序逻辑电路中必须含有存储电路。时序电路的基本结构如图 5.1 所示,它由组合电路和存储电路两部分组成。 图5.1 时序逻辑电路框图 时序逻辑电路具有以下特点: (1)时序逻辑电路通常包含组合电路和存储电路两个组成部分,而存储电路要记忆给定时刻前的输入输出信号,是必不可少的。 (2)时序逻辑电路中存在反馈,存储电路的输出状态必须反馈到组合电路的输入端,与输入信号一起,共同决定组合逻辑电路的输出。 2.时序逻辑电路的分类 (1)按时钟输入方式 时序电路按照时钟输入方式分为同步时序电路和异步时序电路两大类。同步时序电路中,各触发器受同一时钟控制,其状态转换与所加的时钟脉冲信号都是同步的;异步时序电路中,各触发器的时钟不同,电路状态的转换有先有后。同步时序电路较复杂,其速度高于异步时序电路。 (2)按输出信号的特点 根据输出信号的特点可将时序电路分为米里(Mealy)型和摩尔(Moore)型两类。米里型电路的外部输出Z既与触发器的状态Q n有关,又与外部输入X有

关。而摩尔型电路的外部输出Z仅与触发器的状态Q n有关,而与外部输入X无关。 (3)按逻辑功能 时序逻辑电路按逻辑功能可划分为寄存器、锁存器、移位寄存器、计数器和节拍发生器等。 3.时序逻辑电路的逻辑功能描述方法 描述一个时序电路的逻辑功能可以采用逻辑方程组(驱动方程、输出方程、状态方程)、状态表、状态图、时序图等方法。这些方法可以相互转换,而且都是分析和设计时序电路的基本工具。 5.2 时序逻辑电路的分析方法和设计方法 1.时序逻辑电路的分析步骤 (1)首先确定是同步还是异步。若是异步,须写出各触发器的时钟方程。(2)写驱动方程。 (3)写状态方程(或次态方程)。 (4)写输出方程。若电路由外部输出,要写出这些输出的逻辑表达式,即输出方程。 (5)列状态表 (6)画状态图和时序图。 (7)检查电路能否自启动并说明其逻辑功能。 5.2.1 同步时序逻辑电路的设计方法 1.同步时序逻辑电路的设计步骤 设计同步时序电路的一般过程如图5.10所示。 图5.10 同步时序电路的设计过程

第十三章 时序逻辑电路

第十三章时序逻辑电路 第十四章脉冲的产生和整形电路 盐城技师学院 一、填空题(每格1分,共20分) 1、时序电路是由和组成。 2、时序逻辑电路在逻辑功能方面的特点是电路的输出状态不仅与 有关,而且与有关。 3、一个十进制为37,则对应的二进制数为,对应的8421BCD码 为。 4、一个四位8421BCD码十进制加法计数器,若初始状态为0000,输入第 七个脉冲后,计数器的状态为,输入第十个脉冲后,计数器的状态为。 5、一个七段数码管显示器,输入为高电平时数码管发光,则当 abcdefg=1011011时,显示的十进制数为。 6、施密特触发器是一个有的反相器,是一个稳态触发 器,具有特性。 7、多谐荡器没有,电路不停地在两个之间转换,而这个 转换的快慢主要取决于的速度。 8、单稳态触发器在脉冲电路中广泛应用于电路的、等方面。 9、施密特触发器的主要用途有、和。 二、判断题(每题2分。共16分) ()1、移位寄存器可以并行输出,也可以串输出。

()2、多谐振荡器输出的们号是正弦波。 ()3、有8个触发器的二进制异步计数器能表达到56种状态。 ()4、编码是译码的逆过程。 ()5、数码寄存器不但具有寄存器数码的功能,而且还有数码移位的功能。 ( ) 6、单稳态触发器电路的最大工作频率由外加觖发脉冲的频率决定。()7、由三个触发器组成的二进制加法计数器,计数器最大的模是10。()8、构成计数器电路的器件必须具有记忆功能。 三、选择题(每题4分,共32分) 1、一个十进制计数器,至少需要几个触发器构成?() A、2个 B、3个 C、4个 D、5个 2、一个八进制计数器,最多能记忆()个脉冲,第()个脉冲到来后, 向高位进一。 A、7 B、8 C、9 D、10 3、一个512位移位寄存器用作廷迟线,如果时钟频率是4MHZ,则数据通 过该廷迟线的时间为() A、128us B、127.75us C、256us D、125us 4、下列电路中不属于时序电路的是() A、计数器 B、数码寄存器 C、译码器 D、触发器 5、施密特触发器常用于对脉冲波形的() A、延时和定时 B、计数与寄存 C、整形与变换

时序逻辑电路练习题90281

一、填空题 1. 基本RS触发器,当R、S都接高电平时,该触发器具有____ ___功能。 2.D 触发器的特性方程为___ ;J-K 触发器的特性方程为______。 3.T触发器的特性方程为。 4.仅具有“置0”、“置1”功能的触发器叫。 5.时钟有效边沿到来时,输出状态和输入信号相同的触发器叫____ _____。 6. 若D 触发器的D 端连在Q端上,经100 个脉冲作用后,其次态为0,则现态应 为。 7.JK触发器J与K相接作为一个输入时相当于触发器。 8. 触发器有个稳定状态,它可以记录位二进制码,存储8 位二进制信息 需要个触发器。 9.时序电路的次态输出不仅与即时输入有关,而且还与有关。 10. 时序逻辑电路一般由和两部分组成的。 11. 计数器按内部各触发器的动作步调,可分为___ ___计数器和____ __计数器。 12. 按进位体制的不同,计数器可分为计数器和计数器两类;按计数过 程中数字增减趋势的不同,计数器可分为计数器、计数器和计数器。13.要构成五进制计数器,至少需要级触发器。 14.设集成十进制(默认为8421码)加法计数器的初态为Q4Q3Q2Q1=1001,则 经过5个CP脉冲以后计数器的状态为。 15.将某时钟频率为32MHz的CP变为4MHz的CP,需要个二进制计数器。 16. 在各种寄存器中,存放N 位二进制数码需要个触发器。 17. 有一个移位寄存器,高位在左,低位在右,欲将存放在该移位寄存器中的二 进制数乘上十进制数4,则需将该移位寄存器中的数移位,需要 个移位脉冲。 18.某单稳态触发器在无外触发信号时输出为0态,在外加触发信号时,输出跳 变为1态,因此其稳态为态,暂稳态为态。 19.单稳态触发器有___ _个稳定状态,多谐振荡器有_ ___个稳定状态。 20.单稳态触发器在外加触发信号作用下能够由状态翻转到状 态。 21.集成单稳态触发器的暂稳维持时间取决于。 22. 多谐振荡器的振荡周期为T=tw1+tw2,其中tw1为正脉冲宽度,tw2为负脉冲 宽度,则占空比应为____ ___。 23.施密特触发器有____个阈值电压,分别称作___ _____ 和___ _____ 。 24.触发器能将缓慢变化的非矩形脉冲变换成边沿陡峭的矩形脉冲。 25.施密特触发器常用于波形的与。 二、选择题 1. R-S型触发器不具有( )功能。 A. 保持 B. 翻转 C. 置1 D. 置0 2. 触发器的空翻现象是指() A.一个时钟脉冲期间,触发器没有翻转 B.一个时钟脉冲期间,触发器只翻转一次 C.一个时钟脉冲期间,触发器发生多次翻转 D.每来2个时钟脉冲,触发器才翻转一次 3. 欲得到D触发器的功能,以下诸图中唯有图(A)是正确的。

第八章时序逻辑电路学习资料

第八章时序逻辑电路

第八章时序逻辑电路 第一节寄存器 一、单项选择题 1.N个触发器可以构成能寄存位二进制数码的寄存器。() A.N-1 B.N C.N+1 D.2N 2.存储8位二进制信息要个触发器。 A.2 B.3 C.4 D.8 3.8位移位寄存器,串行输入时经个脉冲后,8位数码全部移入寄存器中。 A.1 B.2 C.4 D.8 4.有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP作用下,四位数据的移位过程是() A.1011-0110-1100-1000-0000 B.1011-0101-0010-0001-0000 C.1011-1100-1101-1110-1111 D.1011-1010-1001-1000-0111 5.由三级触发器构成环形计数器的计数摸值为( ) A.8 B.6 C.3 D.16 6.如图8-7所示电路的功能为()A.并行输入寄存器 B.移位寄存器 C.计数器 D.序列信号发生器 7.由四位移位寄存器构成的顺序脉冲发生器可产生个顺序脉冲。() A.2 B.4 C.8 D.16 8.现欲将一个数据串延时4个CP的时间,则最简单的办法采用() A.4位并行寄存器 B.4位移位寄存器 C.4进制计数器 D.4位加法器 二、判断题 1.时序电路中不含有记忆功能的器件。( ) 2.移位寄存器74LS194可串行输入并行输出,但不能串行输入串行输出。() 仅供学习与交流,如有侵权请联系网站删除谢谢2

3.时序逻辑电路在某一时刻的输出状态与该时刻之前的输入信号无关。( ) 4.时序电路一定不要组合电路。() 三、多项选择题 1.寄存器按照功能不同可分为() A.数据寄存器 B.移位寄存器 C.暂存器 D.计数器 2.数码寄存器的特点是() A.存储时间短 B.速度快 C.可做高速缓冲器 D.一旦停电后存储数码全部消失 3.移位寄存器按移位方式可分为() A.左移移位寄存器 B.右移移位寄存器 C.双向移位寄存器 D.集成移位寄存器 第二节计数器 一、填空题1.触发器有个稳定状态,它可以记录位二进制码,存储8位二进制信息需要个触发器。 2.按进位体制的不同,计数器可分为计数器和计数器等;按计数过程中数字增减趋势的不同,计数器可分为计数器、计数器和计数器。 3.要构成五进制计数器,至少需要个触发器。 4.设集成十进制(默认为8421码)加法计数器的初态为Q3Q2Q1Q0=1001,则经过5个CP 脉冲以后计数器的状态为 . 5.在各种寄存器中,存放N位二进制数码需要个触发器。 二、单项选择题 1.按各触发器的CP所决定的状态转换区分,计数器可分为计数器。() A.加法、减法和可逆 B.同步和异步 C.二、十和N进制 D.以上均不正确 2.将一个D触发器处于技术状态时,下列做法正确的是() A.D端接固定高电平 B.D端悬空 C.D端与Q端相联 D.D与Q非端相联 仅供学习与交流,如有侵权请联系网站删除谢谢3

第5章 时序逻辑电路思考题与习题题解

思考题与习题题解 5-1填空题 (1)组合逻辑电路任何时刻的输出信号,与该时刻的输入信号有关;与电路原来所处的状态无关;时序逻辑电路任何时刻的输出信号,与该时刻的输入信号有关;与信号作用前电路原来所处的状态有关。 (2)构成一异步n2进制加法计数器需要 n 个触发器,一般将每个触发器接成计数或T’型触发器。计数脉冲输入端相连,高位触发器的 CP 端与邻低位Q端相连。 (3)一个4位移位寄存器,经过 4 个时钟脉冲CP后,4位串行输入数码全部存入寄存器;再经过 4 个时钟脉冲CP后可串行输出4位数码。 (4)要组成模15计数器,至少需要采用 4 个触发器。 5-2 判断题 (1)异步时序电路的各级触发器类型不同。(×)(2)把一个5进制计数器与一个10进制计数器串联可得到15进制计数器。(×)(3)具有 N 个独立的状态,计满 N 个计数脉冲后,状态能进入循环的时序电路,称之模N计数器。(√)(4)计数器的模是指构成计数器的触发器的个数。(×) 5-3 单项选择题 (1)下列电路中,不属于组合逻辑电路的是(D)。 A.编码器 B.译码器 C. 数据选择器 D. 计数器 (2)同步时序电路和异步时序电路比较,其差异在于后者( B )。 A.没有触发器 B.没有统一的时钟脉冲控制 C.没有稳定状态 D.输出只与内部状态有关 (3)在下列逻辑电路中,不是组合逻辑电路的有( D )。 A.译码器 B.编码器 C.全加器 D.寄存器 (4)某移位寄存器的时钟脉冲频率为100KHz,欲将存放在该寄存器中的数左移8位,完成该操作需要(B)时间。 A.10μS B.80μS C.100μS D.800ms (5)用二进制异步计数器从0做加法,计到十进制数178,则最少需要( C )个触发器。 A.6 B.7 C.8 D.10 (6)某数字钟需要一个分频器将32768Hz的脉冲转换为1HZ的脉冲,欲构成此分频器至少需要(B)个触发器。 A.10 B.15 C.32 D.32768 (7)一位8421BCD码计数器至少需要(B)个触发器。 A.3 B.4 C.5 D.10

实验十 Moore型同步时序逻辑电路的分析与设计

实验十Moore型同步时序逻辑电路的分析与设计 一.实验目的: 1.同步时序逻辑电路的分析与设计方法 2.掌握时序逻辑电路的测试方法。 二.实验原理: 1.Moore同步时序逻辑电路的分析方法: 时序逻辑电路的分析,按照电路图(逻辑图),选择芯片,根据芯片管脚,在逻辑图上标明管脚号;搭接电路后,根据电路要求输入时钟信号(单脉冲信号或连续脉冲信号),求出电路的状态转换图或时序图(工作波形),从中分析出电路的功能。 2.Moore同步时序逻辑电路的设计方法: (1)分析题意,求出状态转换图。 (2)状态分析化简:确定等价状态,电路中的等价状态可合并为一个状态。(3)重新确定电路状态数N,求出触发器数n,触发器数按下列公式求:2n-1

(7)利用卡诺图如图2,求状态方程、驱动方程。 (8)自启动检验:将各无效状态代入状态方程,分析状态转换情况,画出完整的 状态转换图,如图3所示,检查是否能自启动。

第13章触发器及时序逻辑电路习题汇总

第十三章触发器和时序逻辑电路 13.1重点内容提要 时序逻辑电路由组合逻辑电路和具有记忆作用的触发器构成。时序逻辑电路的特点是:其输出不仅仅取决于电路的当前输入,而且还与电路的原来状态有关。 1.双稳态触发器 双稳态触发器的特点: 1).有两个互补的输出端Q 和Q。 2).有两个稳定状态。“1”状态和“0” 状态。通常将Q = 1和Q= 0 称为“1”状态,而把Q = 0和Q = 1称为“0” 状态。 3).当输入信号不发生变化时,触发器状态稳定不变。 4).在一定输入信号作用下,触发器可以从一个稳定状态转移到另一个稳定状态。 按其逻辑功能,触发器可分为:RS触发器,JK触发器、D触发器、T触发器和T’触发器。 各时钟控制触发器的逻辑符号和逻辑功能见表13.1.1: 把一种已有的触发器通过加入转换逻辑电路,可以转换成为另一种功能的触发器。 2.同步时序逻辑电路的分析

同步时序逻辑电路的分析步骤如下: 1.由给定的逻辑电路图写出下列各逻辑方程式: (1)各触发器的特性方程。 (2)各触发器的驱动方程。 (3)时序电路的输出方程。 2.将驱动方程代入相应触发器的特性方程,求得电路的状态方程(或次态方程)。 3.根据状态方程和输出方程,列出该时序电路的状态表,画出状态转换图或时序图。 4.根据电路的状态转换图说明该时序逻辑电路的逻辑功能。 3.典型的时序逻辑电路 在数字系统中,最典型的时序逻辑电路是寄存器和计数器。 1)寄存器 寄存器是用来存储数据或运算结果的一种常用逻辑部件。寄存器的主要组成部分是在双稳态触发器基础上加上一些逻辑门构成。按功能分,寄存器分为数码寄存器和移位寄存器。移位寄存器是既能寄存数码,又能在时钟脉冲的作用下使数码向高位或向低位移动的逻辑功能部件。通常有左移寄存器、右移寄存器、双向移位寄存器和循环移位寄存器。移位寄存器可实现数据的串行、并行转换,数据的运算和数据的处理等。 2)计数器 计数器是一种对输入脉冲数目进行计数的时序逻辑电路,被计数的脉冲信号称为计数脉冲。计数器除计数外,还可以实现定时、分频等,在计算机及数字系统中应用极广。 计数器种类很多,通常有如下不同的分类方法。 (1)按逻辑功能可分为加法计数器、减法计数器和可逆计数器。 (2)按计数进制可分为二进制计数器、十进制计数器和任意进制计数器等。 (3)按工作方式可分为同步计数器和异步计数器。 集成电路74161型四位同步二进制计数器 图13.1.1为74161型四位同步二进制可预置计数器的外引线排列图及其逻辑符号,其中D R 是异步 (a ) 外引线排列图 (b ) 逻辑符号 图13.1.1 74161型四位同步二进制计数器 清零端,LD 是预置数控制端,0123A A A A 是预置数据输入端,EP 和ET 是计数控制端,Q 3Q 2Q 1Q 0是计数输出端,RCO 是进位输出端。74161型四位同步二进制计数器具有以下功能: ① 异步清零。D R =0时,计数器输出被直接清零,与其他输入端的状态无关。 ② 同步并行预置数。在D R =1条件下,当LD =0且有时钟脉冲CP 的上升沿作用时,3A 、2A 、1A 、0A 输入端的数据3d 、2d 、1d 、0d 将分别被3Q 、2Q 、1Q 、0Q 所接收。 ③ 保持。在D R LD ==1条件下,当=?EP ET 0,不管有无CP 脉冲作用,计数器都将保持原有状态

实验三 时序逻辑电路

实验三时序逻辑电路 学习目标: 1、掌握时序逻辑电路的一般设计过程 2、掌握时序逻辑电路的时延分析方法,了解时序电路对时钟信号相关参数的基本要求 3、掌握时序逻辑电路的基本调试方法 4、熟练使用示波器和逻辑分析仪观察波形图 实验内容: 1、广告流水灯(第9 周课内验收)用触发器、组合函数器件和门电路设计一个广告流水灯,该流水灯由8 个LED 组成,工作时始终为1 暗7 亮,且这一个暗灯循环右移。 (1) 写出设计过程,画出设计的逻辑电路图,按图搭接电路 (2) 将单脉冲加到系统时钟端,静态验证实验电路 (3) 将TTL 连续脉冲信号加到系统时钟端,用示波器观察并记录时钟脉冲CP、触发器的输出端Q2、Q1、Q0 和8 个LED 上的波形。 2、序列发生器(第10 周课内实物验收计数器方案)分别用MSI 计数器和移位寄存器设计一个具有自启动功能的01011 序列信号发生器 (1) 写出设计过程,画出电路逻辑图 (2) 搭接电路,并用单脉冲静态验证实验结果 (3) 加入TTL 连续脉冲,用示波器观察观察并记录时钟脉冲CLK、序列输出端的波形。 3、4 位并行输入-串行输出曼切斯特编码电路(第10周课内验收,基础要求占70%,扩展要求占30%) 在电信与数据存储中, 曼彻斯特编码(Manchester coding),又称自同步码、相位编码(phase encoding,PE),它能够用信号的变化来保持发送设备和接收设备之间的同步,在以太网中,被物理层使用来编码一个同步位流的时钟和数据。曼彻斯特编码用电压的变化来分辨0 和1,从高电平到低电平的跳变代表0,而从低电平到高电平的跳变代表1。信号的保持不会超过一个比特位的时间间隔。即使是0 或1 的序列,信号也将在每个时间间隔的中间发生跳变。这种跳变将允许接收设备的时钟与发送设备的时钟保持一致,图3.1 为曼切斯特编码的例子。 设计一个电路,它能自动加载4 位并行数据,并将这4位数据逐个串行输出(高位在前),每个串行输出位都被编码成曼切斯特码,当4 位数据全部传输完成后,重新加载新数据,继续传输,如图3.2 所示。

第5章--时序逻辑电路习题解答

5-1 分析图5.77所示时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图和时序图。 CLK Z 图5.77 题 5-1图 解:从给定的电路图写出驱动方程为: 0012 10 21()n n n n n D Q Q Q D Q D Q ?=??=?? =?? e 将驱动方程代入D 触发器的特征方程D Q n =+1 ,得到状态方程为: 10012110 12 1()n n n n n n n n Q Q Q Q Q Q Q Q +++?=??=??=??e 由电路图可知,输出方程为 2 n Z Q = 根据状态方程和输出方程,画出的状态转换图如图题解5-1(a )所示,时序图如图题解5-1(b )所示。 题解5-1(a )状态转换图

1 Q 2/Q Z Q 题解5-1(b )时序图 综上分析可知,该电路是一个四进制计数器。 5-2 分析图5.78所示电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图。A 为输入变量。 Y A 图5.78 题 5-2图 解:首先从电路图写出驱动方程为: () 0110101()n n n n n D AQ D A Q Q A Q Q ?=? ?==+?? 将上式代入触发器的特征方程后得到状态方程 () 1011 10101()n n n n n n n Q AQ Q A Q Q A Q Q ++?=? ?==+?? 电路的输出方程为: 01n n Y AQ Q = 根据状态方程和输出方程,画出的状态转换图如图题解5-2所示

Y A 题解5-2 状态转换图 综上分析可知该电路的逻辑功能为: 当输入为0时,无论电路初态为何,次态均为状态“00”,即均复位; 当输入为1时,无论电路初态为何,在若干CLK 的作用下,电路最终回到状态“10”。 5-3 已知同步时序电路如图5.79(a)所示,其输入波形如图5.79 (b)所示。试写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图和时序图,并说明该电路的功能。 X (a) 电路图 1234CLK 5678 X (b)输入波形 图5.79 题 5-3图 解:电路的驱动方程、状态方程和输出方程分别为: 0010110001101101 1, ,n n n n n n n n n n J X K X J XQ K X Q X Q XQ X Q XQ Q XQ XQ XQ Y XQ ++?==??==???=+=?? ?=+=+?= 根据状态方程和输出方程,可分别做出11 10,n n Q Q ++和Y 的卡诺图,如表5-1所示。由此 做出的状态转换图如图题解5-3(a)所示,画出的时序图如图题解5-3(b )所示。

最新数字电路第六章时序逻辑电路练习题CAO

第六章时序逻辑电路复习练习题 一、填空题: 1.构造一个模6计数器需要个状态,个触发器。构成一个1位十进制同步加法计数器至少需要()个JK触发器,一个1位5进制同步加法计数器至少需要()个JK触发器。 2.若要构成七进制计数器,最少用_________个触发器,它有______个无效状态。 3.构成一异步n2进制加法计数器需要 n 个触发器,一般将每个触发器接成计数或T’型触发器。计数脉冲输入端相连,高位触发器的 CP 端与邻低位Q端相连。 4. 一个4位移位寄存器,经过 4 个时钟脉冲CP后,4位串行输入数码全部存入寄存器;再经过 4 个时钟脉冲CP后可串行输出4位数码。 5. 要组成模15计数器,至少需要采用 4 个触发器。 6.按计数器中各触发器翻转时间可分为_同步计数器_,异步计数器_。 7. 74LS161是_a_(a.同步b.异步)二进制计数器。它具有_清除_,_置数__,_保持_和计数等四种功能。 8. 74LS290是__b__(a.同步b.异步)非二进制计数器。 9.在计数过程中,利用反馈提供置数信号,使计数器将指定数置入,并由此状态继续计数,可构成N进制计数器,该方法有_同步_置数和_异步置数两种。 10.将模为M和N的两片计数器a_(a.串接b.并接),可扩展成__M*N__进制的计数器。 二、选择题: 1、一个计数器的状态变化为:000 001 010 011 100 000,则该计数器是( 2 )进制(3 )法计数器。 (1)4 (2)5 (3)加(4)减 2、用n个触发器构成计数器,可得到的最大计数长度为( A ) A. 2n B.2n C.2n D.n 3、一块7490十进制计数器中,它含有的触发器个数是( A ) A. 4 B. 2 C. 1 D. 6 4.一位8421BCD码计数器至少需要(B)个触发器。 A.3 B.4 C.5 D.10 5、利用中规模集成计数器构成任意进制计数器的方法有( ABC ) A.复位法 B.预置数法 C.级联复位法 三.判断题 (1)异步时序电路的各级触发器类型不同。(×)(2)把一个5进制计数器与一个10进制计数器串联可得到15进制计数器。(×)(3)具有 N 个独立的状态,计满 N 个计数脉冲后,状态能进入循环的时序电路,称之模N计数器。(√) (4)计数器的模是指构成计数器的触发器的个数。(×)1、二进制加法计数器从0计数到十进制24时,需要5个触发器构成,有7个

数字逻辑与数字电路电子体库第五章时序逻辑电路

时序逻辑电路 一、分析图所示的时序电路。A 为输入逻辑变量。 (1)写出电路的驱动方程、状态方程、输出方程; (2)列出电路的状态转换表,并画出完整的状态转换图; (3)说明电路的功能。 二、分析如图所示的时序电路。 (1)写出电路的驱动方程、状态方程、输出方程; (2)列出电路的状态转换表,并画出状态转换图; (3)检查电路能否自启动,说明电路实现的功能。 CLK 三、分析如图所示的时序电路。 (1)写出电路的驱动方程、状态方程; (2)列出电路的状态转换表,并画出状态转换图;

(3)说明电路能否自启动。 CLK 11J 1K C1 1J 1K C1 Q1 Q0 FF0FF1 1J 1K C1 FF2 Q2 四、试写出下图所示时序电路的驱动方程、状态方程和输出方程,画出电路的状态转换图并分析电路的逻辑功能。 五、分析下图电路的逻辑功能,要求: 1、写出驱动方程、状态方程、输出方程; 2、写出状态转换图(或转换表); 3、分析此电路功能,并判断能否自启动。

六、分析下图电路的逻辑功能,要求: 1、写出驱动方程、状态方程、输出方程; 2、写出状态转换图(或转换表); 3、分析此电路功能,并判断能否自启动。 七、分析如图所示时序逻辑电路的逻辑功能,画出电路的状态转换图,说明电路是否具有自启动特性。 八、试用一片十进制计数器74160接成八进制计数器(允许附加必要的门电路),并作简要说明。74160的引脚图如下所示。 D R 74160 EP ET CLK C LD Q 1Q 2Q 3 Q 0D 0D 1D 2 D 3R D 九、试用一片4位同步二进制计数器74LS161接成十一进制计数器(允许附加必要的门电

同步时序逻辑电路的分析方法

时序逻辑电路的分析方法 时序逻辑电路的分析:根据给定的电路,写出它的方程、列出状态转换真值表、画出状态转换图和时序图,而后得出它的功能。 同步时序逻辑电路的分析方法 同步时序逻辑电路的主要特点:在同步时序逻辑电路中,由于所有触发器都由同一个时钟脉冲信号CP来触发,它只控制触发器的翻转时刻,而对触发器翻转到何种状态并无影响,所以,在分析同步时序逻辑电路时,可以不考虑时钟条件。 1、基本分析步骤 1)写方程式: 输出方程:时序逻辑电路的输出逻辑表达式,它通常为现态和输入信号的函数。 驱动方程:各触发器输入端的逻辑表达式。 状态方程:将驱动方程代入相应触发器的特性方程中,便得到该触发器的状态方程。 2)列状态转换真值表: 将电路现态的各种取值代入状态方程和输出方程中进行计算,求出相应的次态和输出,从而列出状态转换真值表。如现态的起始值已给定时,则从给定值开始计算。如没有给定时,则可设定一个现态起始值依次进行计算。 3)逻辑功能的说明: 根据状态转换真值表来说明电路的逻辑功能。 4)画状态转换图和时序图: 状态转换图:是指电路由现态转换到次态的示意图。 时序图:是在时钟脉冲CP作用下,各触发器状态变化的波形图。 5)检验电路能否自启动 关于电路的自启动问题和检验方法,在下例中得到说明。

2、分析举例 例、试分析下图所示电路的逻辑功能,并画出状态转换图和时序图。 解:由上图所示电路可看出,时钟脉冲CP加在每个触发器的时钟脉冲输入端上。因此,它是一个同步时序逻辑电路,时钟方程可以不写。 ①写方程式: 输出方程: 驱动方程: 状态方程: ②列状态转换真值表: 状态转换真值表的作法是: 从第一个现态“000”开始,代入状态方程,得次态为“001”,代入输出方程,得输出为“0”。

【可直接使用】第13章触发器及时序逻辑电路习题.doc

1 第十三章 触发器和时序逻辑电路 13.1重点内容提要 时序逻辑电路由组合逻辑电路和具有记忆作用的触发器构成。时序逻辑电路的特点是:其输出不仅仅取决于电路的当前输入,而且还与电路的原来状态有关。 1. 双稳态触发器 双稳态触发器的特点: 1).有两个互补的输出端 Q 和Q 。 2).有两个稳定状态。“1”状态和“0” 状态。通常将 Q = 1和Q = 0 称为“1”状态,而把Q = 0和Q = 1称为“0” 状态。 3).当输入信号不发生变化时,触发器状态稳定不变。 4).在一定输入信号作用下,触发器可以从一个稳定状态转移到另一个稳定状态。 按其逻辑功能,触发器可分为:RS 触发器,JK 触发器、D 触发器、T 触发器和T ’触发器。 各时钟控制触发器的逻辑符号和逻辑功能见表13.1.1: 名称 逻辑符号 次态方程 RS 触发器 Q R S Q n +=+1 =?S R 0 (约束方程) JK 触发器 1n n n Q JQ KQ +=+ D 触发器 D Q n =+1 T 触发器 1n n Q T Q +=⊕ T ’ 触发器 1n n Q Q += 把一种已有的触发器通过加入转换逻辑电路,可以转换成为另一种功能的触发器。 2.同步时序逻辑电路的分析

精选 同步时序逻辑电路的分析步骤如下: 1.由给定的逻辑电路图写出下列各逻辑方程式: (1)各触发器的特性方程。 (2)各触发器的驱动方程。 (3)时序电路的输出方程。 2.将驱动方程代入相应触发器的特性方程,求得电路的状态方程(或次态方程)。 3.根据状态方程和输出方程,列出该时序电路的状态表,画出状态转换图或时序图。 4.根据电路的状态转换图说明该时序逻辑电路的逻辑功能。 3.典型的时序逻辑电路 在数字系统中,最典型的时序逻辑电路是寄存器和计数器。 1)寄存器 寄存器是用来存储数据或运算结果的一种常用逻辑部件。寄存器的主要组成部分是在双稳态触发器基础上加上一些逻辑门构成。按功能分,寄存器分为数码寄存器和移位寄存器。移位寄存器是既能寄存数码,又能在时钟脉冲的作用下使数码向高位或向低位移动的逻辑功能部件。通常有左移寄存器、右移寄存器、双向移位寄存器和循环移位寄存器。移位寄存器可实现数据的串行、并行转换,数据的运算和数据的处理等。 2)计数器 计数器是一种对输入脉冲数目进行计数的时序逻辑电路,被计数的脉冲信号称为计数脉冲。计数器除计数外,还可以实现定时、分频等,在计算机及数字系统中应用极广。 计数器种类很多,通常有如下不同的分类方法。 (1)按逻辑功能可分为加法计数器、减法计数器和可逆计数器。 (2)按计数进制可分为二进制计数器、十进制计数器和任意进制计数器等。 (3)按工作方式可分为同步计数器和异步计数器。 集成电路74161型四位同步二进制计数器 图13.1.1为74161型四位同步二进制可预置计数器的外引线排列图及其逻辑符号,其中D R 是异步 (a ) 外引线排列图 (b ) 逻辑符号 图13.1.1 74161型四位同步二进制计数器 清零端,LD 是预置数控制端,0123A A A A 是预置数据输入端,EP 和ET 是计数控制端,Q 3Q 2Q 1Q 0是计数输出端,RCO 是进位输出端。74161型四位同步二进制计数器具有以下功能: ① 异步清零。D R =0时,计数器输出被直接清零,与其他输入端的状态无关。 ② 同步并行预置数。在D R =1条件下,当LD =0且有时钟脉冲CP 的上升沿作用时,3A 、2A 、1A 、0A 输入端的数据3d 、2d 、1d 、0d 将分别被3Q 、2Q 、1Q 、0Q 所接收。 ③ 保持。在D R LD ==1条件下,当=?EP ET 0,不管有无CP 脉冲作用,计数器都将保持原有状态

相关主题
文本预览
相关文档 最新文档