当前位置:文档之家› 数字电路设计实例

数字电路设计实例

数字电路设计实例
数字电路设计实例

数字电路综合设计案例

8.1 十字路口交通管理器

一、要求

设计一个十字路口交通管理器,该管理器自动控制十字路口两组红、黄、绿三色交通灯,指挥各种车辆和行人安全通过。

二、技术指标

1、交通管理器应能有效操纵路口两组红、黄、绿灯,使两条交叉道路上的车辆交替通行,每次通行时间按需要和实际情况设定。

2、在某条道路上有老人、孩子或者残疾人需要横穿马路时,他们可以举旗示意,

执勤人员按动路口设置的开关,交通管理器接受信号,在路口的通行方向发生转换时,响应上述请求信号,让人们横穿马路,这条道上的车辆禁止通行,即管理这条道路的红灯亮。

3、横穿马路的请求结束后,管理器使道口交通恢复交替通行的正常状态。

三、设计原理和过程:

本课题采用自上而下的方法进行设计。

1.确定交通管理器逻辑功能

⑴、十字路口每条道路各有一组红、黄、绿灯,用以指挥车辆和行人有序地通行。其中红灯亮表示该条道路禁止通行;黄灯亮表示停车;绿灯亮表示通行。因此,十字路口车辆运行情况有以下几种可能:

①甲道通行,乙道禁止通行;

②甲道停车线以外的车辆禁止通行(必须停车),乙道仍然禁止通行,以便让甲道停车线以内的车辆安全通过;

③甲道禁止通行,乙道通行;

④甲道仍然不通行,乙道停车线以外的车辆必须停车,停车线以内的车辆顺利通行。

⑵、每条道路的通车时间(也可看作禁止通行时间)为30秒~2分钟,可视需要和实际情况调整,而每条道路的停车时间即黄灯亮的时间为5秒~10秒,且也可调整。

⑶、响应老人、孩子或残疾人特殊请求信号时,必须在一次通行—禁止情况完毕后,

阻止要求横穿的那条马路上车辆的通行。换句话说,使另一条道路增加若干通行时间。

设S1和S2分别为请求横穿甲道和乙道的手控开关,那么,响应S1或S2的时间必定在甲道通乙道禁止或甲道禁止乙道通两种情况结束时,且不必过黄灯的转换。这种规定是为了简化设计。

由上述逻辑功能,画出交通管理器的示意图如图8-1所示,它的简单逻辑流程图如图8-2所示。示意图中甲道的红、黄、绿灯分别用R、Y、G表示,而乙道的红、黄、绿灯分别用r、y、g表示。简单逻辑流程图中设定通行(禁止)时间为60秒,停车时间为10秒。

2.确定系统方案及逻辑划分

由确定的逻辑功能,进而来具体地讨论实施方案。

交通管理器与其他数字系统一样,划分成控制器和受控电

路两部分,控制器送出对受控部分的控制信号,它接受来自外

部的请求信号S1和S2 以及受控部分的反馈信号,决定自身

状态转换方向以及输出信号。

⑴设定S1=1时为有人要横穿甲道,又设定S2=1时为有

人要横穿乙道,若S1=0,

且S2=0,则表示没有穿越马路的特殊请求。S1和S2信号

均用纽子开关产生。

⑵控制器应送出甲、乙道红、黄、绿灯的控制信号。为

简便起见,我们把灯的代号和驱动灯的信号合而为一,因此有

如下规定:

R=1 甲道红灯亮

Y=1 甲道黄灯亮

G=1 甲道绿灯亮

r=1 乙道红灯亮

y=1 乙道黄灯亮

g=1 乙道绿灯亮

同时又作以下规定:

①甲道通行、乙道禁止的一段时间内,即G=1,r=1的时

间内(假设调定为60秒),用符号W=0表示,否则W=1。

②乙道通行、甲道禁止的一段时间内,即g=1,R=1的时

间内(假设也调定为60秒),用符号P=0表示,否则P=1。

③在黄灯亮的时间内(假设调定为10秒),用L=0表示,否则L=1。

在上述各种情况时,如果无特殊请求横穿马路,那么,甲、乙道交替通行60秒钟,转换时有10秒钟的停车或准备时间。

(3)当交通控制处于甲禁止乙通行的状态时,它只响应S1信号,因为若S2=1时,只需本状态结束,经过10秒钟就转入甲通乙不通状态,行人可以穿越乙道,这样做的目的是为了简化设计。在甲通乙不通的状态时,管理器能响应S1信号,控制器受到S1信号后,状态转换为甲禁止、乙通行状态;如果S1=0,而控制器收到S2=1信号,则维持甲道通行、乙道禁止状态,让行人通过乙道。

(4)为使交通管理器按照规定的通行和停车时间有效地工作,故设置秒脉冲信号发生器,它作为整个电路的时钟信号和定时电路的参考间。秒脉冲发生器的构成请参阅“数字钟”的有关内容。设计者亦可安装一个模拟性的简单的秒信号发生器。

(5)管理器设置60秒通行时间和10秒停车时间的定时电路。定时电路接受控制器送来

C1(甲道禁止乙道通行)和C2(甲道通行乙道禁止)信号,驱动60秒定时电路工作,它接受C3信号,驱动10秒定时电路运行,定时电路的参考时间就是秒脉冲。申明一点:定时电路的定时时间可由设计者调整。

定时电路的输出信号是W、P、L,其中W和P是60秒定时结束时馈送给控制器的信号,而L是10秒定时结束时定时电路送到控制器的反馈信号。控制器根据这些信号的状况,发生相应的状态变换。

(6)控制器的状态经译码器译出交通信号灯的控制信号,驱动甲、乙道相应灯点亮。

现在就可以画出交通管理器的结构组成图如图8-3所示。而它的控制器的详细逻辑流程图可用图8-4示出。控制器的输出已在流程图各工作块的外侧标明。

3.受控电路的硬件设计

由于受控电路的组成已经明确,现在的问题

是如

何选择具体的器件来实现。在此作简明介绍。

⑴秒脉冲信号发生器

秒脉冲是交通管理器的时间基准,秒脉冲发生器可以参照数字闹钟课题内的标准时间源。由于本课题对秒信号稳定度、精度的要求并不高,因此建议用一般的环形震荡器组成,电路如图8-5所示。其中逻辑门选用74LS00四与非门。由于该电路输出信号的周期约为

T=2.2RC

在保证(R+Re)<700Ω(TTL门电路关门电阻)的前提下,选择恰当的R和C值组成。

⑵60秒和10秒定时电路

定时电路有多种形式,设计者可以任选。这里介绍一种用MSI 74LS161同步计数器构成定时电路的方法。

由于电路配置秒脉冲信号发生器,如果把秒信号作为计数器的CP输入,那么计数器连接成60进制时就可作为60秒定时电路。由此推广,模N计数器就是N秒定时电路,这对于灵活调整道路通行时间是相当方便的。

以下讨论用74LS161构成N进制计数器的方法。

74LS161具有同步预置控制端LD,因此可以采用反馈预置法实现N进制计数器。实现的方法为:首先使L D=0,数据输入端A=B=C=D=0,CP来到将计数器置0(即Q D Q C Q B Q A=0000),并以此作为初态;然后使L D=1,器件在CP作用下开始计数,当计数到(N-1)时,经与非门反馈给预置控制端L D,又使L D=0,再次

预置数据0,从而完成一个0到(N-1)的循环,实现了N进制计数器的功能。图8-6(a)示出了根据上述原理构成的模14计数器的外部连接图。

一片74LS161的最大计数模数为16,大于模16时必须用若干片连接。但是在连接成同步计数链时,应注意用计数器控制端P、T传递溢出进位信号,使各片计数器快速、正确地工作。图8-6 (b) 示出了用两片74LS161组成M=60计数器的连接图,因为N=(60)10=(111100)2,

故反馈预置端,其中Q A1、Q B1和Q D1是低位片的三个触发器的输出,Q A2和Q B2是高位片的两个低位的输出。低位片的T·P固定接1,满足计数条件。而高位片要计数,只有等待低位片输出为全1时,因此用低位片的溢出进位输出Q C控制高位片的T·P端,当Q C=1时,高位片在输入下一个计数脉冲时接受进位,加1计数,否则为保持状态。

再则,74LS161也有异步清零功能,故可使用清零控制端Cr,采用反馈复位法使它成为任意进制计数器。图8-7(a) 是用用反馈复位法构成的模10计数器,因为(10)10=(1010)2,由于Cr是异步清零端,一旦Q B=1与Q D=1时,立即使计数器复0(0000),故(1010)2这个状态不能持续,计数器状态由0000、0001···1001、0000,实现十进制计数。图8-7 (b)是反馈复位法连接成的60进制计数器,工作原理请读者自行分析。

本课题允许任选反馈预置法或反馈复位法构成60秒和10秒定时电路。这里选择反馈预置法组成,如图8-8所示。

我们要注意几点:①选通信号C1、C2和C3来自控制器,它们反映在何时打开哪一个定时电路的CP控制门。②如果确定两通道通行时间均为60秒,则可用同一定时电路实现。但考虑到两道通行时间的灵活调整,即每道通行时间可在30秒~2分钟之内变动,甚至甲道和乙道通行时间不相同等等,故可分别用n1和n2秒定时电路来产生P和W应答信号,以供控制器判别、决策,如图8-9所示。③黄灯亮的定时电路是公用的,设定时时间为n3秒,其输出信号L同样送至控制器。

⑶、交通管理灯选用红、黄、绿不同颜色的发光二极管组成,它们分别受控制器输出信号R、Y、G、r、y、g所驱动。

至此,我们可画出交通管理器受控部分硬件实施简图如图8-9所示。

4.控制器设计

⑴导出管理器的MDS图

从图8-4所示的交通管理器详细逻辑流程图出发,画出相应的MDS图如图8-10所示。在图中状态A为甲道禁止乙道通行状态(甲R乙g),状态B为甲道禁止乙道停车状态(甲R乙y),状态C为甲道通行乙道禁止状态(甲G乙r),状态D为甲道停车乙道禁止状态(甲Y乙r)。

⑵状态分配

本课题采用D触发器作为控制器记忆元件,四个状态用两个D触发器,状态分配如下:状态A—00、状态B—01、状态C—11、状态D—10,状态分配图如图8-11所示。

⑶、填写激励图

根据状态分配的情况,填写两个D触发器激励函数降维卡诺图如图8-12所示。其中状态变量Q2为高位,Q1为低位。

由激励函数卡诺图求得激励函数为:

经化简可得

⑷求输出函数方程

乙道通行、甲道禁止时(P=0)的定时电路选通信号

甲道通行、乙道禁止时(W=0)的定时电路选通信号

停车时间(L=0)定时电路的选通信号

控制器驱动甲道红、黄、绿灯的信号

控制器驱动乙道红、黄、绿灯的信号

⑸控制器逻辑电路图

设计工作至此,所有方程已经求出,设计者可以选择各种SSI、MSI、LSI器件来实现。

四、讨论

1.试用MSI组合器件数据选择器和译码器实现交通管理器控制器,画出相应的控制器逻辑电路图。

2.试用集成单稳电路SN74121或SN74123组成交通管理器道路通行、禁止、停车定时电路。在此情况下,受控部分硬件实施图和控制器逻辑电路图。

3.假设甲、乙道交叉路口的交通管理按以下规则进行:

⑴甲道通行时间为2分钟;

⑵甲道停车时间为20秒钟;

⑶乙道通行时间为1分钟;

⑷乙道停车时间为10秒钟;

⑸老人、孩子和残疾人请求过马路时,管理器立即响应,10秒钟后允许行人穿越;

⑹交通管理人员有权随时终止甲、乙道交替通行的状况,而使某道连续通行,以解决某道交通堵塞现象或者应付临时需要,如警车、消防车、救护车等特殊车辆的紧急或较长时间的通行。

试设计并实现上述要求的十字路口交通管理器。

4.能否设计一个三、五条道路、以至六条交叉路口的交通管理器。

5.试选用MSI时序器件74LS161、74LS194等,设计交通管理器的控制器。

6.交通管理器控制器工作过程的ASM图,并与MDS图设计方法相比较。

8.2 多路可编程控制器设计与制作

一、问题的引入:

在实际应用中,常常需要一种能同时控制多组开关按一定的方式闭合与断开的装置,比如显示图样不断变化的各种霓虹灯或彩灯的电源控制系统。本节设计与制作的多路可编程控制器就具有这种功能。

二、设计目的:

通过这一课程设计,读者可以在如下方面得到锻炼。

( 1 )基本了解设计数字系统的一般方法。

( 2 )进一步熟悉常用数字器件的使用方法。

( 3 )基本掌握通过逻辑分析查找数字电路故障的方法。

( 4 )熟悉并学会使用用于读写 EPROM 的常用软件,掌握固化与擦除 EPROM 的方法。

三、设计要求:

设计并制作出一种用于控制霓虹灯的控制器,它具有如下功能:

( 1 )可以控制每段霓虹灯的点亮或熄灭。

( 2 )每段霓虹灯的点亮与熄灭可以通过 68 编程来实现。

( 3 )每间隔一段时间,霓虹灯的图样变化一次。

( 4 )图样变化的间隔时间可以调节。

四、所需仪器设备与器件

示波器,稳压电源, EPROM 读写软、硬件, EPROM 擦除器。

五、设计内容、方法与步骤:

1.设计内容

1)霓虹灯受控显示的基本原理

我们以背景霓虹灯的一种显示效果为例,介绍控制霓虹灯显示的基本原理。设有一排 n 段水平排列的霓虹灯,某种显示方式为从左到右每间隔 0.2 秒逐个点亮。其控制过程如下:若以“ 1 ”代表霓虹灯点亮,以“ 0 ”代表霓虹灯熄灭,则开始时刻, n 段霓虹灯的控制信号均为“ 0 ”,随后,控制器将一帧 n 个数据送至 n 段霓虹灯的控制端,其中,最左边的一段霓虹灯对应的控制数据为“ 1 ”,其余的数据均为零,即 1000 … 000 。当 n 个数据送完以后,控制器停止送数,保留这种状态(定时) 0.2 秒,此时,第 1 段霓虹灯被点亮,其余霓虹灯熄灭。随后,控制器又在极短的时间内将数据 1100 … 000 送至霓虹灯的控制端,并定时 0.2 秒,这段时间,前两段霓虹灯被点亮。由于送数过程很快,我们观测到的效果是第一段霓虹灯被点亮 0.2 秒后,第 2 段霓虹灯接着被点亮,即每隔 0.2 秒显示一

帧图样。如此下去,最后控制器将数据 1111 … 111 送至 n 段霓虹灯的控制端,则 n 段霓虹灯被全部点亮。

只要改变送至每段霓虹灯的数据,即可改变霓虹灯的显示方式,显然,我们可以通过合理地组合数据(编程)来得到霓虹灯的不同显示方式。

2)系统框图

根据设计要求,确定如图 8.1 所示系统框图。

8-1 系统方框图

框图中,右边的 D 0 -D n 为 n 个发光二极管,它们与 n 段霓虹灯相对应,二极管亮,则霓虹灯亮。下面介绍框图中各部分的功能与实现方法。

( 1 )移位寄存器

移位寄存器用于寄存控制发光二极管亮、灭的数据,对应 n 个发光二极管,移位寄存器有 n 位输出。

移位寄存器的输入信号取自存储器输出的 8 位并行数据,为使电路简单,可以采用 8 位并入并出的移位寄存器,也可以采用并入串出的移位寄存器。

( 2 )只读存储器

只读存储器内部通过编程已写入控制霓虹灯显示方式的数据,控制器每间隔一段时间(显示定时)将 n 位数据送移位寄存器,所送的数据内容由存储器的地址信号确定。

存储器的容量由霓虹灯的段数、显示方式及显示方式的种类确定。 n 段霓虹灯, m 种显示方式,要求存储器的容量为

n=n × n × m ( bit )

只读存储器可以采用常用的 EPROM, 如 2764 、 27128 、 27256 、 27512 等。

( 3) 地址计数器

地址计数器产生由低到高连续变化的只读存储器的地址,存储器内对应地址的数据被送至寄存器。地址计数器输出的位数由存储器的大小决定。 64Kbyt 容量的存储器对应的地址线为 16 根,因此要求 16 位计数器。其余可依次类推。地址计数器给出存储器的全部地址以后自动复位,重新从 0000H 开始计数。

地址计数器可以采用一般的二进制计数器,如 74161 、 162 等。

( 4 )控制门与定时器

控制门用于控制计数脉冲是否到达地址计数器。控制门的控制信号来自定时器,定时器启动时,控制门被关闭,地址计数器停止计数,寄存器的数据被锁存。此段时间发光二极管发光。达到定时值时,定时器反相,计数器重新开始计数。

控制门可以用一般的与门或或门,定时器可以采用单稳态电路来实现,也可以用计数器实现。

( 5 )长度计数器

长度计数器与地址计数器对应同一个计数脉冲。长度计数器工作时,地址计数器也在工作。计数器工作期间,存储器对应地址的数据被逐级移位至对应的寄存器。长度计数器的计数长度为 n/8, 该长度恰好保证一帧图样( n 位)的数据从存储器中读出送寄存器锁存。长度计数器达到长度值时自动清零,同时启动定时器工作。定时器启动期间,长度计数器与地址计数器的计数脉冲均被封闭。

长度计数器电路可视计数的具体长度来确定。当计数长度较短时,可以采用移位寄存器来实现。

3 )实用电路

根据上面的分析,设计出如图 8.2 所示的实用电路。

实用电路可以控制 32 段霓虹灯,用 32 个发光二极管代替霓虹灯。实际电路中,霓虹灯是由开关变压器提供的电源点亮的,开关变压器通过光耦进行强、弱电隔离,从寄存器输出的点亮发光二极管的驱动信号完全可以驱动开关变压器工作。

电路中的移位寄存器采用 74LS374, 当与 11 脚相连的移位脉冲产生上升沿突变时, 8 位数据从上至下从一个寄存器移位至另一个寄存器,构成 8 位并行移位电路。显然,出现在 11 脚的移位脉冲,一次只能有 4 个。

图 8.2 霓虹灯显示控制器实用电路

电路中的存储器采用具有 8K 地址的 EPROM 2764, 电路中 2764 的最后两根地址线 A11 、A12 接地。因此,实际只用到了前面 2K 地址的存储单元。由于只控制 32 段霓虹灯,它仍可以保证有足够多的显示方式。如有必要,可以通过接插的方式改变 A11 、 A12 的电平,选择其他 6K 地址对应的图样。

电路中的地址计数器由 3 块 74LS161 组成,它产生 11 位地址数据,计数输出直接与存储器的地址线相连。

定时器采用 555 组成的单稳态触发器来实现,改变可变电阻 VR 的数值。可以改变定时器的时间,即每帧画面显示的时间。显示时间一般定在 0.1 ~ 1S 之间。

振荡电路采用 555 组成多谐振荡器来实现,其振荡频率可以在 1KHz ~ 1MHz 之间取值。

2 .方法与步骤

1 )器件检测

与每次实训一样,首先对所用器件进行检测。保证器件完好,可以减少因器件不良带来的各种麻烦。

2 )电路安装

在印刷电路板上安装好全部器件。所需电路板可以在电子 CAD 课程作为课程设计内容完成,也可委托电路板厂加工。如无现成的印刷电路板,也可在万能板上安装。由于电路连线较多,不宜在面包板上安装。

3 )检测电路

( 1 )检测由 555 组成的时钟振荡器的输出波形,正常情况应能在 U5 的第 3 脚观测到频率为几十 KHz 的矩形波。如不能观测到输出波形,则应检测 555 的工作状态,找到故障所在。

( 2 )将定时器电位器 VR 调至最小值,用示波器观测计数脉冲的波形,如电路正常,可以得到波形。如没有波形或波形为连续矩形波,则检测定时器 555 输出端第三脚的电平。正常时可以观测到输出电平以短于 1S 的时间周期跳变,如果不出现跳变,则定时器没有工作,应检测定时器与长度计数器的工作状态。通过检测各引脚电平或波形,根据电路的逻辑关系进行分析,排除故障。

( 3 )检测存储器各地址线的电平,在低地址端应能观测到电平的跳变。如地址线电平不发生变化,则应检测由 4 个 74LS161 构成的地址计数器工作是否正常,通过检测各 IC 的引脚或波形,排除故障。

( 4 )检测寄存器 74LS374 各引脚电平,各电平值应与电路确定的值一致,出现异常则应找出故障所在,予以排除。

3 )排列发光二极管

将 32 个发光二极管按你喜欢的方式排列成一定的图形或字符。

4 )确定显示方式

根据排列的图形,确定发光二极管的显示方式。

5 )确定存储器各地址对应的数据

显示方式确定之后,则可确定存储器各地址对应的数据。为加深读者的认识,设发光二极管水平排列,显示方式为从左至右一个一个点亮。这种情况下,各地址对应的数据如表 8.1 所示。表中,每行第一个 16 进制数为存储器的一个起始地址,其余 16 个数为该地址及与该地址相连的其他 15 个地址的数据,也用 16 进制数表示。

表 8.1 一种显示方式各地址对应的数据

0000H 00H 00H 00H 01H 00H 00H 00H 03H 00H 00H 00H 07H 00H 00H 00H 0FH

0010H 00H 00H 00H 1FH 00H 00H 00H 3FH 00H 00H 00H 7FH 00H 00H 00H FFH

0020H 00H 00H 01H FFH 00H 00H 03H FFH 00H 00H 07H FFH 00H 00H 0FH FFH

0030H 00H 00H 1FH FFH 00H 00H 3FH FFH 00H 00H 7FH FFH 00H 00H FFH FFH

0040H 00H 01H FFH FFH 00H 03H FFH FFH 00H 07H FFH FFH 00H 0FH FFh FFH

0050H OOH 1FH FFH FFH 00H 3FH FFH FFH 00H 7FH FFH FFH 00H FFH FFH FFH

0060H 01H FFh FFH FFH 03H FFH FFH FFH 07H FFH FFH FFH 0FH FFH FFH FFH

0070H 1FH FFH FFH FFH FFH 3FH FFH FFH 7FH FFH FFH FFH FFH FFH FFH FFH

读者读懂表 8.1 的内容以后,就可以自己编辑显示方式了。

6 )输入数据

读者可以利用任何读写 EOROM 的软件及相关附件将编辑好的内容固化在 EPROM 中。固化时,必须注意选择编程电压时,应与实际存储器的编程电压一致。

7 )显示图样

将 EPROM 插入 IC 插座,接通电源,即可看到发光二极管依一定的规律在点亮与熄灭。观看显示方式是否与自己设计的方式一致,如不一致,找出原因。如属数据编辑错误,可改写前面的数据。 EPROM 具有光擦除功能,要修改内部数据,必须用紫外线擦除器擦除后重写全部内容。

六、课程设计验收

?硬件制作实物完成情况,演示设计与调试的结果

?设计方案与说明书

8.3 数字频率计的设计与制作

一、问题的引入:

在许多情况下,要对信号的频率进行测量,利用示波器可以粗略测量被测信号的频率,精确测量则要用到数字频率计。

二、设计目的:

本设计与制作项目可以进一步加深我们对数字电路应用技术方面的了解与认识,进一步熟悉数字电路系统设计、制作与调试的方法和步骤。

三、设计要求:

设计并制作出一种数字频率计,其技术指标如下:

( 1 )频率测量范围: 10 ~ 9999Hz 。

( 2 )输入电压幅度 >300mV 。

( 3 )输入信号波形:任意周期信号。

( 4 )显示位数: 4 位。

( 5 )电源: 220V 、 50Hz

四、所需仪器设备与器件

示波器、音频信号发生器、逻辑笔、万用表、数字集成电路测试仪、直流稳压电源。

五、设计内容、方法与步骤:

1 .设计内容

1 )数字频率计的基本原理

数字频率计的主要功能是测量周期信号的频率。频率是单位时间( 1S )内信号发生周期变化的次数。如果我们能在给定的 1S 时间内对信号波形计数,并将计数结果显示出来,就能读取被测信号的频率。数字频率计首先必须获得相对稳定与准确的时间,同时将被测信号转换成幅度与波形均能被数字电路识别的脉冲信号,然后通过计数器计算这一段时间间隔内的脉冲个数,将其换算后显示出来。这就是数字频率计的基本原理。

2 )系统框图

从数字频率计的基本原理出发,根据设计要求,得到如图 8.3 所示的电路框图。

下面介绍框图中各部分的功能及实现方法

( 1 )电源与整流稳压电路

框图中的电源采用 50Hz 的交流市电。市电被降压、整流、稳压后为整个系统提供直流电源。系统对电源的要求不高,可以采用串联式稳压电源电路来实现。

( 2 )全波整流与波形整形电路

本频率计采用市电频率作为标准频率,以获得稳定的基准时间。按国家标准,市电的频率漂移不能超过 0.5Hz ,即在 1 %的范围内。用它作普通频率计的基准信号完全能满足系统的要求。全波整流电路首先对 50Hz 交流市电进行全波整流,得到如图 8.4 ( a )所示 100Hz

图 8.3 数字频率计框图

的全波整流波形。波形整形电路对 100Hz 信号进行整形,使之成为如图 8.4(b) 所示 100Hz 的矩形波。

图 8.4 全波整流与波形整形电路的输出波形

波形整形可以采用过零触发电路将全波整流波形变为矩形波,也可采用施密特触发器进行整形。

( 3 )分频器

分频器的作用是为了获得 1S 的标准时间。电路首先对图 8.4 所示的 100Hz 信号进行100 分频得到如图 8.5 ( a )所示周期为 1S 的脉冲信号。然后再进行二分频得到如图 8.5 ( b )所示占空比为 50 %脉冲宽度为 1S 的方波信号,由此获得测量频率的基准时间。利用此信号去打开与关闭控制门,可以获得在 1S 时间内通过控制门的被测脉冲的数目。

分频器可以采用第 5 章介绍过的方法,由计数器通过计数获得。二分频可以采用触发器来实现。

( 4 )信号放大、波形整形电路

为了能测量不同电平值与波形的周期信号的频率,必须对被测信号进行放大与整形处理,

图 8.5 分频器的输出波形

使之成为能被计数器有效识别的脉冲信号。信号放大与波形整形电路的作用即在于此。信号放大可以采用一般的运算放大电路,波形整形可以采用施密特触发器。

( 5 )控制门

控制门用于控制输入脉冲是否送计数器计数。它的一个输入端接标准秒信号,一个输入端接被测脉冲。控制门可以用与门或或门来实现。当采用与门时,秒信号为正时进行计数,当采用或门时,秒信号为负时进行计数。

( 6 )计数器

计数器的作用是对输入脉冲计数。根据设计要求,最高测量频率为 9999Hz ,应采用 4 位十进制计数器。可以选用现成的 10 进制集成计数器。

( 7 )锁存器

在确定的时间( 1S )内计数器的计数结果(被测信号频率)必须经锁定后才能获得稳定的显示值。锁存器的作用是通过触发脉冲控制,将测得的数据寄存起来,送显示译码器。锁存器可以采用一般的 8 位并行输入寄存器,为使数据稳定,最好采用边沿触发方式的器件。

( 8 )显示译码器与数码管

显示译码器的作用是把用 BCD 码表示的 10 进制数转换成能驱动数码管正常显示的段信号,以获得数字显示。

选用显示译码器时其输出方式必须与数码管匹配。

3 )实际电路

根据系统框图,设计出的电路如图 8.6 所示。

图中,稳压电源采用 7805 来实现,电路简单可靠,电源的稳定度与波纹系数均能达到要求 .

对 100Hz 全波整流输出信号的分频采用 7 位二进制计数器 74HC4024 组成 100 进制计数器来实现。计数脉冲下降沿有效。在 74HC4024 的 Q7 、 Q6 、 Q3 端通过与门加入反馈清零信号,当计数器输出为二进制数 1100100 (十进制数为 100 )时,计数器异步清零。

图 8.6 数字频率计电路图

数字电路课程设计实验报告1

序言 在测试、研究或调整电子电路及设备时,为测定电路的一些点参量,如测量频率响应、噪声系数,为电压表定度等,都要求提供符合所需技术要求的电信号,以模拟在实际工作中使用的待测设备的激励信号。信号发生器即由此而来,作为电子设计中常用仪器仪表,信号发生器又称信号源,可以用来产生被测电路所需特定参数的电测试信号。根据输出波形的不同,信号源可以分为正弦波信号发生器、矩形脉冲信号发生器、函数信号发生器和随机信号发生器等四大类。例如当要求进行系统的稳定性测量时,需使用振幅、波形、幅值等能在一定范围内进行精确调整,有很好的稳定性,有输出指示。基于信号发生器的广泛使用,对信号发生器设计的研究就显得非常有意义。 本课题是利用VHDL语言来实现计费功能的,VHDL具有与具体硬件电路无关和与设计平台无关的特性,并且具有良好的电路行为描述和系统描述的能力,并在语言易读性和层次化、结构化设计方面,表现了强大的生命力和应用潜力,因此选用VHDL语言进行编程。 本次课程设计巩固和运用了所学课程,通过理论联系实际,提高了分析、解决计算机技术实际问题的独立工作能力,通过对一个函数信号发生器的设计,进一步加深了对计算机原理以及数字电路应用技术方面的了解与认识,进一步熟悉了数字电路系统设计、制作与调试的方法和步骤。进一步了解了计算机组成原理与系统结构,使自己对EDA技术的学习更深入,学会用VHDL语言去控制将会使我们对本专业知识可以更好地掌握。 现在的信号发生器设计有以下几种方法: (1) 模拟直接合成法。这种方法充分利用了乘法器、除法器、混频器、滤波器和快速开关构成合成信号发生器,但是它的缺点是带宽不够高,性能差,构成信号发生器的电路体积比较庞大,而且功耗较高。

数字电路课程设计题目选编

数字电路课程设计题目选编 1、基于DC4011水箱水位自动控制器的设计与实现 简介及要求:水箱水位自动控制器,电路采用CD4011 四与非门作为处理芯片。要求能够实现如下功能:水 箱中的水位低于预定的水位时,自动启动水泵抽水; 而当水箱中的水位达到预定的高水位时,使水泵停止 抽水,始终保持水箱中有一定的水,既不会干,也不 会溢,非常的实用而且方便。 2、基于CD4011声控、光控延时开关的设计与实现 简介及要求:要求电路以CD4011作为中心元件,结合外围 电路,实现以下功能:在白天或光线较亮时,节电开关呈关闭 状态,灯不亮;夜间或光线较暗时,节电开关呈预备工作状态, 当有人经过该开关附近时,脚步声、说话声、拍手声等都能开 启节电开关。灯亮后经过40秒左右的延时节电开关自动关闭, 灯灭。 3、基于CD4011红外感应开关的设计与实现 在一些公共场所里,诸如自动干手机、自动取票机等,只要人手在机器前面一晃,机器便被启动,延时一段时间后自动关闭,使用起来非常方便。要求用CD4011设计有此功能的红外线感应开关。 4、基于CD4011红外线对射报警器的设计与实现 设计一款利用红 外线进行布防的防盗 报警系统,利用多谐振 荡器作为红外线发射 器的驱动电路,驱动红 外发射管,向布防区内 发射红外线,接收端利用专用的红外线接收器件对发射的 红外线信号进行接收,经放大电路进行信号放大及整形, 以CD4011作为逻辑处理器,控制报警电路及复位电路,电

路中设有报警信号锁定功能,即使现场的入侵人员走开,报警电路也将一直报警,直到人为解除后方能取消报警。 5、基于CD4069无线音乐门铃的设计与实现 音乐门铃已为人们所熟知,在一些住宅楼中都 装有音乐门铃,当有客人来访时,只要按下门铃按 钮,就会发出“叮咚”的声音或是播放一首乐曲, 然而在一些已装修好的室内,若是装上有线门铃, 由于必须布线,从而破坏装修,让人感到非常麻烦。 采用CD4069设计一款无线音乐门铃,发射按键与接 收机间采用了无线方式传输信息。 6、基于时基电路555“叮咚”门铃的设计与实现 用NE555集成电路设计、制作一个“叮咚”门铃,使该装置能够 发出音色比较动听的“叮咚”声。 7、基于CD4511数显八路抢答器的设计与实现 CD4511是一块含BCD-7段锁存、译码、驱动电路于一体的集成 电路。设计一款基于CD4511八路抢答器,该电路包括抢答,编 码,优先,锁存,数显和复位。 8、基于NE555+CD4017流水彩灯的设计与实现 以NE555和CD4017为核心,设计制作一个流水彩灯,使之通 过调节电位器旋钮,可调整彩灯的流动速度。 9、基于用CD4067、CD4013、 NE555跑马灯的设计与实 现

66 数字电路综合设计

6.6 数字电路综合设计 6.6.1汽车尾灯控制电路 1. 要求:假设汽车尾部左右两侧各有三个指示灯(用发光二极管模拟),要求汽车正常运行时指示灯全灭;右转弯时,右侧3个指示灯按右循环顺序点亮;左转弯时左侧三个指示灯按左循环顺序点亮;临时刹车时所有指示灯同时闪烁。2.电路设计: (1)列出尾灯和汽车运行状态表如表6.1所示 (2)总体框图:由于汽车左或右转弯时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。由此得出在每种运行状态下,各指示灯和各给定条件(S1、S0、CP、Q1、Q0)的关系,即逻辑功能表(如表6-2所示(表中0表示灯灭,1表示灯亮)。 由表6-2得总体框图如图6.6-1所示 图6.6-1汽车尾灯控制电路原理框图

(3)单元电路设计 三进制计数器电路可根据表6-2由双J—K触发器74LS76构成。 汽车尾灯控制电路如图6.6-2所示,其显示驱动电路由6个发光二极管构成;译码电路由3—8线译码器74LSl38和6个和门构成。74LSl38的三个输入端A2、A1、A0分别接S1、Q1、Q0,而Q1Q0是三进制计数器的输出端。当S1=0,使能信号A=G=1,计数器的状态为00,01,10时,74LSl38对应的输出端 Y、1Y、2Y依次为0有效(3Y、4Y、5Y信号为“1” 0 无效),反相器G1—G3的输出端也依次为0,故指示灯D1→D2→D3按顺序点亮,示意汽车右转弯。若上述条件不变,而S1=1,则74LSl38对应的输出端 Y、5Y、6Y依次为0有 4 效,即反相器G4~G6的输出端依次为0,故指示灯D4→D5→D6按顺序点亮,示意汽车左转弯。当G=0,A=1时,74LSl38的输出端全为1,G6~G1的输出端也全为1,指示灯全灭;当G=0,A=CP时,指示灯随CP的频率闪烁。 对于开关控制电路,设74LSl38和显示驱动电路的使能

数字电路设计实例

数字电路综合设计案例 8.1 十字路口交通管理器 一、要求 设计一个十字路口交通管理器,该管理器自动控制十字路口两组红、黄、绿三色交通灯,指挥各种车辆和行人安全通过。 二、技术指标 1、交通管理器应能有效操纵路口两组红、黄、绿灯,使两条交叉道路上的车辆交替通行,每次通行时间按需要和实际情况设定。 2、在某条道路上有老人、孩子或者残疾人需要横穿马路时,他们可以举旗示意, 执勤人员按动路口设置的开关,交通管理器接受信号,在路口的通行方向发生转换时,响应上述请求信号,让人们横穿马路,这条道上的车辆禁止通行,即管理这条道路的红灯亮。 3、横穿马路的请求结束后,管理器使道口交通恢复交替通行的正常状态。 三、设计原理和过程: 本课题采用自上而下的方法进行设计。 1.确定交通管理器逻辑功能 ⑴、十字路口每条道路各有一组红、黄、绿灯,用以指挥车辆和行人有序地通行。其中红灯亮表示该条道路禁止通行;黄灯亮表示停车;绿灯亮表示通行。因此,十字路口车辆运行情况有以下几种可能: ①甲道通行,乙道禁止通行; ②甲道停车线以外的车辆禁止通行(必须停车),乙道仍然禁止通行,以便让甲道停车线以内的车辆安全通过; ③甲道禁止通行,乙道通行; ④甲道仍然不通行,乙道停车线以外的车辆必须停车,停车线以内的车辆顺利通行。 ⑵、每条道路的通车时间(也可看作禁止通行时间)为30秒~2分钟,可视需要和实际情况调整,而每条道路的停车时间即黄灯亮的时间为5秒~10秒,且也可调整。 ⑶、响应老人、孩子或残疾人特殊请求信号时,必须在一次通行—禁止情况完毕后, 阻止要求横穿的那条马路上车辆的通行。换句话说,使另一条道路增加若干通行时间。 设S1和S2分别为请求横穿甲道和乙道的手控开关,那么,响应S1或S2的时间必定在甲道通乙道禁止或甲道禁止乙道通两种情况结束时,且不必过黄灯的转换。这种规定是为了简化设计。 由上述逻辑功能,画出交通管理器的示意图如图8-1所示,它的简单逻辑流程图如图8-2所示。示意图中甲道的红、黄、绿灯分别用R、Y、G表示,而乙道的红、黄、绿灯分别用r、y、g表示。简单逻辑流程图中设定通行(禁止)时间为60秒,停车时间为10秒。

数字电路组合逻辑电路设计实验报告

数字电路组合逻辑电路设 计实验报告 The Standardization Office was revised on the afternoon of December 13, 2020

实验三组合逻辑电路设计(含门电路功能测试)

一、实验目的 1.掌握常用门电路的逻辑功能 2.掌握小规模集成电路设计组合逻辑电路的方法 3.掌握组合逻辑电路的功能测试方法 二、实验设备与器材 Multisim 、74LS00 四输入2与非门、示波器、导线 三、实验原理 TTL集成逻辑电路种类繁多,使用时应对选用的器件做简单逻辑功能检查,保证实验的顺利进行。 测试门电路逻辑功能有静态测试和动态测试两种方法。静态测试时,门电路输入端加固定的高(H)、低电平,用示波器、万用表、或发光二极管(LED)测

出门电路的输出响应。动态测试时,门电路的输入端加脉冲信号,用示波器观测输入波形与输出波形的同步关系。 下面以74LS00为例,简述集成逻辑门功能测试的方法。74LS00为四输入2与非门,电路图如3-1所示。74LS00是将四个二输入与非门封装在一个集成电路芯片中,共有14条外引线。使用时必须保证在第14脚上加+5V电压,第7脚与底线接好。 整个测试过程包括静态、动态和主要参数测试三部分。 表3-1 74LS00与非门真值表 1.门电路的静态逻辑功能测试 静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否。实验时,可将74LS00中的一个与非门的输入端A、B分别作为输入逻辑变量,加高、低电平,观测输出电平是否符合74LS00的真值表(表3-1)描述功能。

数字电路与逻辑设计实验实验四

中山大学南方学院 电气与计算机工程学院 课程名称:数字电路与逻辑设计实验实验题目:译码显示电路

附:实验报告 专业:电子信息科学与技术年级:18 完成日期:2020年7月05日学号:182018010 姓名:叶健行成绩: 一、实验目的 (一)掌握中规模集成译码器的逻辑功能和使用方法。 (二)熟悉数码管的使用。 二、实验原理 (一)数码显示译码器 1、七段发光二极管(LED)数码管 LED数码管是目前最常用的数字显示器,图1 (a)、(b)为共阴管和共阳管的电路,(c)为两种不同出线形式的引出脚功能图。 一个LED数码管可用来显示一位0~9十进制数和一个小数点。小型数码管(0.5寸和0.36寸)每段发光二极管的正向压降,随显示光(通常为红、绿、黄、橙色)的颜色不同略有差别,通常约为2~2.5V,每个发光二极管的点亮电流在5~10mA。LED数码管要显示BCD码所表示的十进制数字就需要有一个专门的译码器,该译码器不但要完成译码功能,还要有相当的驱动能力。 (a) 共阴连接(“1”电平驱动)(b) 共阳连接(“0”电平驱动)

(c) 符号及引脚功能 图1 LED 数码管 2、BCD 码七段译码驱动器 此类译码器型号有74LS47(共阳),74LS48(共阴),CC4511(共阴)等,本实验系采用74LS48 BCD 码锁存/七段译码/驱动器。驱动共阴极LED 数码管。图2为74LS48引脚排列。 其中 A 、B 、C 、D — BCD 码输入端 a 、 b 、 c 、 d 、 e 、 f 、 g — 译码输出端,输出“1”有效,用来驱动共阴极LED 数码管。 LT — 灯 测试输入端,LT =“0”时,译码输出全为“1” BI R — 灭 零 输入端,BI R =“0”时,不显示多余的零。 RBO /BI — 作为输入使用时,灭灯输入控制端; 作为输出端使用时,灭零输出端。 (二)扫描式显示 对多位数字显示采用扫描式显示可以节电,这一点在某些场合很重要。对于某些系统输出的的数据,应用扫描式译码显示,可使电路大为简化。有些系统,比如计算机,某些A/D 转换器,是以这样的形式输出数据的:由选通信号控制多路开关,先后送出(由高位到低位或由低位到高位)一位十进制的BCD 码,如图(三)所示。图中的Ds 称为选通信号,并假定系统按先高位后低位的顺序送出数据,当Ds1高电平送出千位数,Ds2高电平送出百位数,……一般Ds 的高电平相邻之间有一定的间隔,选通信号可用节拍发生器产生。 如图(四)所示,为这种系统的译码扫描显示的原理图。图中各片 LED

《数字电路课程设计》

实验三旋转灯光电路与追逐闪光灯电路 一、实验目的 1.熟悉集成电路CD4029、CD4017、74LS138的逻辑功能。 2.学会用74LS04、CD4029、74LS138组装旋转灯光电路。 3. 学会用CD4069、CD4017组装追逐闪光灯电路。 二、实验电路与原理 1.旋转灯光电路: 图3-1 旋转灯光电路 将16只发光二极管排成一个圆形图案,按照顺序每次点亮一只发光二极管,形成旋转灯光。实现旋转灯光的电路如图3-1所示,图中IC1、R1、C1组成时钟脉冲发生器。IC2为16进制计数器,输出为4位二进制数,在每一个时钟脉冲作用下输出的二进制数加“1”。计数器计满后自动回“0”,重新开始计数,如此不断重复。 输入数据的低三位同时接到两个译码器的数据输入端,但是否能有译码器输出取决于使能端的状态。输入数据的第四位“D”接到IC3的低有效使能端G2和IC4的高有效使能端G1,当4位二进制数的高位D为“0”时,IC4的G1为“0”,IC4的使能端无效,IC4无译码输出,而IC3的G2为“0”,IC3使能端全部有效,低3位的CBA数据由IC3译码,输出D=0时的8个输出,即低8位输出(Y0~Y7)。当D为“1”时IC3的使能端处于无效状态,IC3无译码输出;IC4的使能端有效,低3位CBA数据由IC4译码,输出D=1时的8个输出,即高8位输出(Y8~Y15)。 由于输入二进制数不断加“1”,被点亮的发光二极管也不断地改变位置,形成灯光地“移动”。改变振荡器的振荡频率,就能改变灯光的“移动速度”。

注意:74LS138驱动灌电流的能力为8mA,只能直接驱动工作电流为5mA的超高亮发光二极管。若需驱动其他发光二极管或其他显示器件则需要增加驱动电路。 2. 追逐闪光灯电路 图 3-2 追 逐 闪 光 灯 电 路 ( 1) . CD 401 7 的 管 脚功能 CD4017集成电路是十进制计数/时序译码器,又称十进制计数/脉冲分频器。它是4000系列CMOS数字集成电路中应用最广泛的电路之一,其结构简单,造价低廉,性能稳定可靠,工艺成熟,使用方便。它与时基集成电路555一样,深受广大电子科技工作者和电子爱好者的喜爱。目前世界各大通用数字集成电路厂家都生产40171C,在国外的产品典型型号为CD4017,在我国,早期产品的型号为C217、C187、CC4017等。 (2)CD4017C管脚功能 CMOSCD40171C采用标准的双列直插式16脚塑封,它的引脚排列如图3-3(a)所示。 CC4017是国标型号,它与国外同类产品CD4017在逻辑功能、引出端和电参数等方面完全相同,可以直接互换。本书均以CD40171C为例进行介绍,其引脚功能如下: ①脚(Y5),第5输出端;②脚(Y1),第1输出端,⑧脚(Yo),第0输出端,电路清零 时,该端为高电平,④脚(Y2),第2输出端;⑤脚(Y6),第6输出端;⑥脚(Y7),第7输出端;⑦脚(Y3),第3输出端;⑧脚(Vss),电源负端;⑨脚(Y8),第8输出端,⑩脚(Y4),第4输出端;11脚(Y9),第9输出端,12脚(Qco),级联进位输出端,每输入10个时钟脉冲,就可得一个进位输出脉冲,因此进位输出信号可作为下一级计数器的时钟信号。13脚(EN),时钟输入端,脉冲下降沿有效;14脚(CP),时钟输入

数字电路实验报告

数字电路实验报告 姓名:张珂 班级:10级8班 学号:2010302540224

实验一:组合逻辑电路分析一.实验用集成电路引脚图 1.74LS00集成电路 2.74LS20集成电路 二、实验内容 1、组合逻辑电路分析 逻辑原理图如下:

U1A 74LS00N U2B 74LS00N U3C 74LS00N X1 2.5 V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V GND 图1.1组合逻辑电路分析 电路图说明:ABCD 按逻辑开关“1”表示高电平,“0”表示低电平; 逻辑指示灯:灯亮表示“1”,灯不亮表示“0”。 真值表如下: A B C D Y 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 1 表1.1 组合逻辑电路分析真值表 实验分析: 由实验逻辑电路图可知:输出X1=AB CD =AB+CD ,同样,由真值表也能推出此方程,说明此逻辑电路具有与或功能。 2、密码锁问题: 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开;否则,报警信号为“1”,则接通警铃。

试分析下图中密码锁的密码ABCD 是什么? 密码锁逻辑原理图如下: U1A 74LS00N U2B 74LS00N U3C 74LS00N U4D 74LS00N U5D 74LS00N U6A 74LS00N U7A 74LS00N U8A 74LS20D GND VCC 5V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V X1 2.5 V X2 2.5 V 图 2 密码锁电路分析 实验真值表记录如下: 实验真值表 A B C D X1 X2 0 0 0 0 0 1 0 0 0 1 0 1 0 0 1 0 0 1 0 0 1 1 0 1 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 0 1 1 0 0 0 0 1 1 0 0 1 1 0 1 0 1 0 0 1 1 0 1 1 0 1 1 1 0 0 0 1 1 1 0 1 0 1 1 1 1 0 0 1 1 1 1 1 0 1 表1.2 密码锁电路分析真值表 实验分析: 由真值表(表1.2)可知:当ABCD 为1001时,灯X1亮,灯X2灭;其他情况下,灯X1灭,灯X2亮。由此可见,该密码锁的密码ABCD 为1001.因而,可以得到:X1=ABCD ,X2=1X 。

数字电路实验计数器的设计

数字电路与逻辑设计实验报告实验七计数器的设计 :黄文轩 学号:17310031 班级:光电一班

一、实验目的 熟悉J-K触发器的逻辑功能,掌握J-K触发器构成异步计数器和同步计数器。 二、实验器件 1.数字电路实验箱、数字万用表、示波器。 2.虚拟器件: 74LS73,74LS00, 74LS08, 74LS20 三、实验预习 1. 复习时序逻辑电路设计方法 ①根据设计要求获得真值表 ②画出卡诺图或使用其他方式确定状态转换的规律 ③求出各触发器的驱动方程 ④根据已有方程画出电路图。 2. 按实验内容设计逻辑电路画出逻辑图 Ⅰ、16进制异步计数器的设计 异步计数器的设计思路是将上一级触发器的Q输出作为下一级触发器的时钟信号,置所有触发器的J-K为1,这样每次到达时钟下降沿都发生一次计数,每次前一级 触发器从1变化到0都使得后一级触发器反转,即引发进位操作。 画出由J-K触发器组成的异步计数器电路如下图所示:

使用Multisim仿真验证电路正确性,仿真图中波形从上到下依次是从低位到高位 触发器的输出,以及时钟信号。: 可以看出电路正常执行16进制计数器的功能。 Ⅱ、16进制同步计数器的设计 较异步计数器而言,同步计数器要求电路的每一位信号的变化都发生在相同的时间点。

因此同步计数器各触发器的时钟脉冲必须是同一个时钟信号,这样进位信息就要放置在J-K 输入端,我们可以把J-K端口接在一起,当时钟下降沿到来时,如果满足进位条件(前几位触发器输出都为1)则使JK为1,发生反转实现进位。 画出由J-K触发器和门电路组成的同步计数器电路如下图所示 使用Multisim仿真验证电路正确性,仿真图中波形从上到下依次是从低位到高位触发器的输出,计数器进位输出,以及时钟信号。:

数字电路课程设计

数字电路课程设计 一、概述 任务:通过解决一两个实际问题,巩固和加深在课程教学中所学到的知识和实验技能,基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力,为今后从事生产和科研工作打下一定的基础。为毕业设计和今后从事电子技术方面的工作打下基础。 设计环节:根据题目拟定性能指标,电路的预设计,实验,修改设计。 衡量设计的标准:工作稳定可靠,能达到所要求的性能指标,并留有适当的裕量;电路简单、成本低;功耗低;所采用的元器件的品种少、体积小并且货源充足;便于生产、测试和维修。 二、常用的电子电路的一般设计方法 常用的电子电路的一般设计方法是:选择总体方案,设计单元电路,选择元器件,计算参数,审图,实验(包括修改测试性能),画出总体电路图。 1.总体方案的选择 设计电路的第一步就是选择总体方案。所谓总体方案是根据所提出的任务、要求和性能指标,用具有一定功能的若干单元电路组成一个整体,来实现各项功能,满足设计题目提出的要求和技术指标。 由于符合要求的总体方案往往不止一个,应当针对任务、要求和条件,查阅有关资料,以广开思路,提出若干不同的方案,然后仔细分析每个方案的可行性和优缺点,加以比较,从中取优。在选择过程中,常用框图表示各种方案的基本原理。框图一般不必画得太详细,只要说明基本原理就可以了,但有些关键部分一定要画清楚,必要时尚需画出具体电路来加以分析。 2.单元电路的设计 在确定了总体方案、画出详细框图之后,便可进行单元电路设计。 (1)根据设计要求和已选定的总体方案的原理框图,确定对各单元电路的设计要求,必要时应详细拟定主要单元电路的性能指标,应注意各单元电路的相互配合,要尽量少用或不用电平转换之类的接口电路,以简化电路结构、降低成本。

确定版的50个典型经典应用电路实例分析

电路1简单电感量测量装置 在电子制作和设计,经常会用到不同参数的电感线圈,这些线圈的电感量不像电阻那么容易测量,有些数字万用表虽有电感测量挡,但测量范围很有限。该电路以谐振方法测量电感值,测量下限可达10nH,测量范围很宽,能满足正常情况下的电感量测量,电路结构简单,工作可靠稳定,适合于爱好者制作。 一、电路工作原理 电路原理如图1(a)所示。 图1简单电感测量装置电路图 该电路的核心器件是集成压控振荡器芯片MC1648,利用其压控特性在输出3脚产生频 值,测量精度极高。 率信号,可间接测量待测电感L X BB809是变容二极管,图中电位器VR1对+15V进行分压,调节该电位器可获得不同的电压输出,该电压通过R1加到变容二极管BB809上可获得不同的电容量。测量被测电感L X 时,只需将L X接到图中A、B两点中,然后调节电位器VR1使电路谐振,在MC1648的3脚会输出一定频率的振荡信号,用频率计测量C点的频率值,就可通过计算得出L 值。 X 电路谐振频率:f0=1/2π所以L X=1/4π2f02C LxC 式中谐振频率f0即为MC1648的3脚输出频率值,C是电位器VR1调定的变容二极管的电容值,可见要计算L X的值还需先知道C值。为此需要对电位器VR1刻度与变容二极管的对应值作出校准。 为了校准变容二极管与电位器之间的电容量,我们要再自制一个标准的方形RF(射频)电感线圈L0。如图6—7(b)所示,该标准线圈电感量为0.44μH。校准时,将RF线圈L0接在图(a)的A、B两端,调节电位器VR1至不同的刻度位置,在C点可测量出相对应的测量值,再根据上面谐振公式可算出变容二极管在电位器VR1刻度盘不同刻度的电容量。附表给出了实测取样对应关系。 附表振荡频率(MHz)98766253433834

数字电路组合逻辑电路设计实验报告

实验三组合逻辑电路设计(含门电路功能测试)

一、实验目的 1.掌握常用门电路的逻辑功能 2.掌握小规模集成电路设计组合逻辑电路的方法 3.掌握组合逻辑电路的功能测试方法 二、实验设备与器材 Multisim 、74LS00 四输入2与非门、示波器、导线 三、实验原理 TTL集成逻辑电路种类繁多,使用时应对选用的器件做简单逻辑功能检查,保证实验的顺利进行。 测试门电路逻辑功能有静态测试和动态测试两种方法。静态测试时,门电路输入端加固定的高(H)、低电平,用示波器、万用表、或发光二极管(LED)测出门电路的输出响应。动

态测试时,门电路的输入端加脉冲信号,用示波器观测输入波形与输出波形的同步关系。 下面以74LS00为例,简述集成逻辑门功能测试的方法。74LS00为四输入2与非门,电路图如3-1所示。74LS00是将四个二输入与非门封装在一个集成电路芯片中,共有14条外引线。使用时必须保证在第14脚上加+5V电压,第7脚与底线接好。 整个测试过程包括静态、动态和主要参数测试三部分。 表3-1 74LS00与非门真值表 1.门电路的静态逻辑功能测试 静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否。实验时,可将74LS00中的一个与非门的输入端A、B分别作为输入逻辑变量,加高、低电平,观测输出电平是否符合74LS00的真值表(表3-1)描述功能。 测试电路如图3-2所示。试验中A、B输入高、低电平,由数字电路实验箱中逻辑电平产生电路产生,输入F可直接插至逻辑电平只是电路的某一路进行显示。

仿真示意 2.门电路的动态逻辑功能测试 动态测试用于数字系统运行中逻辑功能的检查,测试时,电路输入串行数字信号,用示波器比较输入与输出信号波形,以此来确定电路的功能。实验时,与非门输入端A加一频率为

数字电路及设计实验

常用数字仪表的使用 实验内容: 1.参考“仪器操作指南”之“DS1000操作演示”,熟悉示数字波器的使用。 2.测试示波器校正信号如下参数:(请注意该信号测试时将耦合方式设置为直流耦合。 峰峰值(Vpp),最大值(Vmax),最小值(Vmin), 幅值(Vamp),周期(Prd),频率(Freq) 顶端值(Vtop),底端值(Vbase),过冲(Overshoot), 预冲(Preshoot),平均值(Average),均方根值(Vrms),即有效值 上升时间(RiseTime),下降时间(FallTime),正脉宽(+Width), 负脉宽(-Width),正占空比(+Duty),负占空比(-Duty)等参数。 3.TTL输出高电平>2.4V,输出低电平<0.4V。在室温下,一般输出高电平是3.5V,输出低 电平是0.2V。最小输入高电平和低电平:输入高电平>=2.0V,输入低电平<=0.8V。 请采用函数信号发生器输出一个TTL信号,要求满足如下条件: ①输出高电平为3.5V,低电平为0V的一个方波信号; ②信号频率1000Hz; 在示波器上观测该信号并记录波形数据。

集成逻辑门测试(含4个实验项目) (本实验内容选作) 一、实验目的 (1)深刻理解集成逻辑门主要参数的含义和功能。 (2)熟悉TTL 与非门和CMOS 或非门主要参数的测试方法,并通过功能测试判断器件好坏。 二、实验设备与器件 本实验设备与器件分别是: 实验设备:自制数字实验平台、双踪示波器、直流稳压电源、数字频率计、数字万用表及工具; 实验器件:74LS20两片,CC4001一片,500Ω左右电阻和10k Ω左右电阻各一只。 三、实验项目 1.TTL 与非门逻辑功能测试 按表1-1的要求测74LS20逻辑功能,将测试结果填入与非门功能测试表中(测试F=1、0时,V OH 与V OL 的值)。 2.TTL 与非门直流参数的测试 测试时取电源电压V CC =5V ;注意电流表档次,所选量程应大于器件电参数规范值。 (1)导通电源电流I CCL 。测试条件:输入端均悬空,输出端空载。测试电路按图1-1(a )连接。 (2)低电平输入电流I iL 。测试条件:被测输入端通过电流表接地,其余输入端悬空,输出空载。测试电路按图1-1(b )连接。 (3)高电平输入电流I iH 。测试条件:被测输入端通过电流表接电源(电压V CC ),其余输入端均接地,输出空载。测试电路按图1-1(c )连接。 (4)电压传输特性。测试电路按图1-2连接。按表1-2所列各输入电压值逐点进行测量,各输入电压值通过调节电位器W 取得。将测试结果在表1-2中记录,并根据实测数据,做出电压传输特性曲线。然后,从曲线上读出V OH ,V OL ,V on ,V off 和V T ,并计算V NH ,V NL 等参数。 表1-1 与非门功能测试表

数字系统设计实验三

实验四简易数字钟下载 1、实验目的 1)学习掌握数字系统综合设计方法。 2)学习掌握层次设计方法。 3)学习掌握设计下载方法。 4)学习掌握实验系统使用方法。 2、实验原理 数字钟是对输入时基秒脉冲进行计数,依次输出秒数值、分数值、小时数值,从而确定时钟时间,其原理框图如下图所示。 Image 简易数字钟原理图 简易数字钟原理图 实际的数字钟设计中还需要增加年月日的功能,这里框图中

也省略了校时功能的结构。 3、实验内容 1)选择XC2S200PQ208器件建立一个新的工程。 2)在上述工程中,采用VHDL语言的方法设计上述简易数字钟。 3)参考实验系统使用说明,按下列要求锁定引脚。秒、分钟、小时由实验系统的J1、J2输出,显示输出的时分秒间隔一位数码管。时钟输入由J7的1脚输入。 4)下载编程并验证设计结果。 4、实验设备 1)清华同方PⅣ 2.4G\256M60G 2)ISE 6.2i—Windows软件系统 3)多功能EDA实验系统(V型) 5、实验步骤 1)写出简易数字钟的设计程序。 2)画出简易数字钟的仿真波形。 3)将程序下载到芯片中。 a.首先点击菜单Assignments->Device,选择Device family->Cyclone IV E,然后选择芯片型号Available device->EP4CE6F17C8。 b.进行管脚锁定,选择菜单Assignments->Pin Planner,在Location列下为输入\输出变量选择对应的管脚进行锁定。 c.选择快捷菜单进行编译Start Compilation,生成下载文件。 d.点击Tools->Programmer e.点击Add Device,在Device->Cyclone IVE中,选择Device

数字电路与逻辑设计实验总结报告

第二次实验是Quartus11原理图输入法设计,由于是第一次使用Quartus11软 件,实验中遇到了不少问题,总结起来主要有以下几个: (1)在创建工程并且编译通过之后得不到仿真波形 解决方法:经过仔细检查,发现在创建符号文件时,未对其重新命名,使得符号文件名与顶层文件的实体名一样。在改变符号文件名之后成功的得到了仿真波形。 (2)得到的仿真波形过于紧密不便于观察 解决方法:重新对仿真域的时间进行设定,并且对输入信号的周期做相应的调整,最终得到了疏密有致的仿真波形。 实验总结及心得体会 通过本次实验我初步掌握了Quartus11的使用方法,并且熟悉了电路板的使用。在实验具体操作的过程中,对理论知识(半加器和全加器)也有了更近一步的理解,真正达到了理论指导实践,实践检验理论的目的。 实验操作中应特别注意的几点: (1)刚开始创建工程时选择的目标芯片一定要与实验板上的芯片相对应。 (2)连接电路时要注意保证线与端口连接好,并且注意不要画到器件图形符号的虚线框里面。 (3)顶层文件的实体名只能有一个,而且注意符号文件不能与顶层文件的实体名相同。 (4)保存波形文件时,注意文件名必须与工程名一致,因为在多次为一个工程建立波形文件时,一定要注意保存时文件名要与工程名一致,否则不能得到正确的仿真结果。 (5)仿真时间区域的设定与输入波形周期的设定一定要协调,否则得到波形可能不便于观察或发生错误。 心得体会:刚接触使用一个新的软件,实验前一定要做好预习工作,在具体的实验操作过程中一定要细心,比如在引脚设定时一定要做到“对号入座”,曾经自己由于这一点没做好耗费了很多时间。实验中遇到的各种大小问题基本都是自己独立排查解决的,这对于自己独立解决问题的能力也是一个极大地提高和锻炼,总之这次实验我获益匪浅。 第三次实验是用VHDL语言设计组合逻辑电路和时序逻辑电路,由于Quartus11软件在之前已经使用过,所以本实验的主要任务就是编写与实验要求相对应的VHDL程序。 总体来说此次实验比较顺利,基本没有遇到什么问题,但有几点需要特别注意。首先是要区分实体名称和结构体名,这一点是程序编写的关键。其次在时序逻辑电路的设计实验中时钟的设置很关键,设置不当的话仿真波形可能不正确。 通过本次实验我初步学会用VHDL语言编写一些简单的程序,同时也进一步熟悉了Quartus11软件的使用。 实验八彩灯控制电路设计与实现 一、实验目的 1、进一步了解时序电路设计方法

数字电路模拟设计实验报告

数字电子设计 客房呼叫器 学院:通化师范学院 专业班级:物理系11级二班 小组成员:黄琳杰呼永建王继洋马一剑周建伟刘启宇郑伟清李楠楠 指导老师:李东康 时间:2013年12月3日

前言 物理实验是人们根据科学研究的目的,利用仪器设备人为地控制模拟自然现象,排除干扰突出主要因素,在有利条件下观察研究自然规律的活动。 因此,物理实验可以简化纯化研究对象和过程,可以强化研究条件,可以控制或再现和重复物理过程。物理实验有多种类型在本次试验设计中,我们采取模拟试验的方式来用数字电路设计餐厅客房服务器。 设计目的与要求 1.选用十个开关模拟某餐厅的十个包房,应用数字电路设计一个客房呼叫器。 2.用数码管显示呼叫服务的客房编号。 发挥部分:试想一下,若存在十个以上包房应如何设计。

总体框图 设计想法 1. 整体设计思路:根据对设计要求的分析,可以将整个呼叫器的逻辑电路划分为编码器,代码转换电路和数码显示电路三个部分。 2. 整体设计流程: a 编码器将客房给出的开关输入编成对应的二进制代码 b 代码转换电路将编码器输出的编码转换为七段显示译码器在显示时所要求的输入代码。 c 用一个数码管显示呼叫信号的号码。

选择器件 74ls147优先编码器管脚图和功能真值表 优先编码器是当多个输入端同时有信号时,电路只对其中优先级别最高的输入信号进行编码,常用的集成优先编码器IC有10线-4线,8线-3线两种。10线-4线优先编码器常见的型号为54/74LS147,54/74147, 3线-8线优先编码器常见的型号为54/74148,54/74LS148. 下面我们以74ls147为例介绍优先编码器功能如图一所示 第九个脚NC为空74ls147优先编码器有九个输入端和四个输出端,某个输入端为0,代表输入某个十进制数当九个输入端全为1时,代表输入的十进制数为0. 74ls147优先编码器的输入端和输出端都是低电平有效即某一个输入端低电平0时,四个输出端就以低电平0的输出其对应的8421 BCD编码,当九个输入全为1时,4个输出也全为1呆比啊输入十进制数0的8421 BCD编码输出。

北邮-数字电路与逻辑设计实验-实验报告(上)

北京邮电大学电路实验中心<数字电路与逻辑设计实验(上)> 实 验 报 告 班级: xxxx 学院: xxx 实验室: xxx 审阅教师:姓名(班内序号): xxx 学号: xxx 实验时间: xxx 评定成绩:

目录 实验1 Quartus II 原理图输入法设计与实现 (3) 一、实验目的 (3) 二、实验所用器材 (3) 三、实验任务要求 (3) 四、实验原理图 (3) 五、实验仿真波形图及分析 (4) 实验2 用VHDL 设计与实现组合逻辑电路 (5) 一、实验目的 (5) 二、实验所用器材 (5) 三、实验任务要求 (5) 四、VHDL代码 (5) 五、实验仿真波形图及分析 (7) 实验3 用VHDL 设计与实现时序逻辑电路 (8) 一、实验目的 (8) 二、实验所用器材 (8) 三、实验任务要求 (8) 四、模块端口说明及连接图 (8) 五、VHDL代码 (9) 六、实验仿真波形图及分析 (10) 实验4 用VHDL 设计与实现数码管动态扫描控制器 (10) 一、实验目的 (10) 二、实验所用器材 (11) 三、实验任务要求 (11) 四、模块端口说明及连接图 (11) 五、VHDL代码 (11) 六、实验仿真波形图及分析 (15) 故障及问题分析 (16) 总结和结论 (17)

实验1 Quartus II 原理图输入法设计与实现 一、实验目的 (1)熟悉用Quartus II原理图输入法进行电路设计和仿真; (2)掌握Quartus II 图形模块单元的生成与调用; (3)熟悉实验板的使用。 二、实验所用器材 (1)计算机; (2)直流稳压电源; (3)数字系统与逻辑设计实验开发板。 三、实验任务要求 (1)用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半加器图形模块单元。 (2)用(1)中生成的半加器模块和逻辑门设计实现一个全加器,仿真验证其功能,并下载到实验板测试,要求用拨码开关设定输入信号,发光二极管显示输出信号。 (3)用3线-8线译码器(74LS138)和逻辑门设计实现函数+CBA,仿真验证其功能,并下载到实验板测试。要求用拨码开关设定输入信号,发光二极管显示输出信号。 四、实验原理图 (1)半加器原理图 (2)全加器原理图

数字电路设计 数字电路应用设计

数字电路设计数字电路应用设计数字电路应用设计。 本书从实用设计方法出发。 结合实际应用。 介绍数字电路设计的方法及应用。 本书共10章。 内容包括数字电路实用设计基础。 电子计数器。 秒表的制作。 数字电路设计电子储钱罐的设计与制作。 自行车用速度计的制作。 出租车计费器的设计与制作。 4路红外遥控电路的设计。 电风扇变速超声波遥控电路的设计。 复印机逻辑控制电路设计。 单片机应用实例。 以及VHDL等。 本书内容结构合理。 配图丰富。 实用性强。 本书既可作为工科院校电子。

通信及相关专业师生的参考用书。 也可供电路设计及研发人员参考阅读。 书名,数字电路应用设计。 作者,关静。 ISBN,9787030257796。 定价,32.00 元。 出版社,科学出版社。 出版时间,2009-11-1。 装帧,平装。 开本,16开。 基本信息。 数字电路应用设计作者:关静编著出版社:科学出版社出版时间:2009-11-1开本:16开I S B N:9787030257796定价:¥32.00。 内容简介。 本书从实用设计方法出发。 结合实际应用。 介绍数字电路设计的方法及应用。 本书共10章。 内容包括数字电路实用设计基础。 电子计数器。 秒表的制作。

电子储钱罐的设计与制作。 自行车用速度计的制作。 出租车计费器的设计与制作。 4路红外遥控电路的设计。 电风扇变速超声波遥控电路的设计。 复印机逻辑控制电路设计。 单片机应用实例。 以及VHDL等。 本书内容结构合理。 配图丰富。 实用性强。 本书既可作为工科院校电子。 通信及相关专业师生的参考用书。 也可供电路设计及研发人员参考阅读。 目录。 第1章数字电路实用设计基础1.1 数字集成电路的分类。 特点及注意事项1.2 数字逻辑电路的测试方法1.3 基本逻辑门电路的测试方法1.4 典型集成逻辑门电路部件逻辑门等等。 逻辑门可以组合使用实现更为复杂的逻辑运算。 1.5 组合逻辑电路的分析与设计逻辑运算又称布尔运算布尔用数学方法研究逻辑问题。

数字集成电路设计实验报告

哈尔滨理工大学数字集成电路设计实验报告 学院:应用科学学院 专业班级:电科12 - 1班 学号:32 姓名:周龙 指导教师:刘倩 2015年5月20日

实验一、反相器版图设计 1.实验目的 1)、熟悉mos晶体管版图结构及绘制步骤; 2)、熟悉反相器版图结构及版图仿真; 2. 实验内容 1)绘制PMOS布局图; 2)绘制NMOS布局图; 3)绘制反相器布局图并仿真; 3. 实验步骤 1、绘制PMOS布局图: (1) 绘制N Well图层;(2) 绘制Active图层; (3) 绘制P Select图层; (4) 绘制Poly图层; (5) 绘制Active Contact图层;(6) 绘制Metal1图层; (7) 设计规则检查;(8) 检查错误; (9) 修改错误; (10)截面观察; 2、绘制NMOS布局图: (1) 新增NMOS组件;(2) 编辑NMOS组件;(3) 设计导览; 3、绘制反相器布局图: (1) 取代设定;(2) 编辑组件;(3) 坐标设定;(4) 复制组件;(5) 引用nmos组件;(6) 引用pmos组件;(7) 设计规则检查;(8) 新增PMOS基板节点组件;(9) 编辑PMOS基板节点组件;(10) 新增NMOS基板接触点; (11) 编辑NMOS基板节点组件;(12) 引用Basecontactp组件;(13) 引用Basecontactn 组件;(14) 连接闸极Poly;(15) 连接汲极;(16) 绘制电源线;(17) 标出Vdd 与GND节点;(18) 连接电源与接触点;(19) 加入输入端口;(20) 加入输出端口;(21) 更改组件名称;(22) 将布局图转化成T-Spice文件;(23) T-Spice 模拟; 4. 实验结果 nmos版图

数字电路小系统设计实验

实验3.3 数字电路小系统设计 (6学时分2次完成) 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能,在定时控制、定时检测等方面也有广泛应用。 一、实验目的 设计一个包含脉冲波形产生、计数、译码、显示及控制逻辑等部件的数字,并在面包板上实现。 二、实验思路和实验前准备 1.熟悉译码器的逻辑功能 一个译码器是将输入确定位数二进制代码的不同组合“翻译”成不同的对应输出信号。常用的译码器有教材上介绍的3-8译码器74LS138,即输入有3位二进制,其对应的8种组合分别与一个输出对应,其逻辑符号如图3.3.1。当所有74LS138的输入控制端有效时,输出与输入最小项的对应关系是i i m Y (i =0,1,…7)。因此教材中已经介绍了它可以实现多输出逻辑函数。 但在数字系统的设计中,译码器的另一个更为重要的作用是地址译码,也就是说,译码器将A 0、A 1、A 2输入的三位地址“翻译”成8个输出信号,A 0、A 1、A 2的一个确定值仅对 应 有一个输出为低电平有效。一般在数字计算机系统中,译码器的这8个输出信号分别接到其它器件的片选端CS (Chip Select ),其上的横线代表片选信号是低电平有效,即低电平选中该芯片,它就可以与计算机通信数据。因此74LS138的8个输出最多可以连接8个计算机外设 图3.3.1 74LS138逻辑符号图 图3.3.2 IBM —PC/XT 系统主板 I/O 接口地址译码电路

接口,而任一时间最多只选中一个工作。图3.3.2就是早期的PC 计算机使用74LS138译码器构成计算机中DMA 控制器8237、中断控制器8259A 、计数/定时器T/C (8253)、并行可编程接口PPI (8255A )、DMA 页面寄存器及NMI 屏蔽寄存器的片选或控制端。根据图中连接方法,可得到各芯片或寄存器的地址空间如图3.3.2右面所列。在信号AEN=1时,大家分析图中所标的各个芯片的地址范围是否正确?这一部分在学习微型计算机原理时会有更深刻的体会。 译码器还有许多其它的型号,与集成逻辑门中介绍的方法一样,可以在丰富的网络资源中得到找到需要的相关信息。 另外,在实验前,有必要先介绍一下器件符号的概念,对于同一个器件的逻辑符号图或引脚图,不同的器件手册或教材使用的引脚符号和表示形式都不一样,也许大家在学习过程中已经注意到这一点。为了与教材保持一致,我们对逻辑符号图进行规范,即逻辑符号框图内所有变量均为正逻辑(即框内符号上没有非号),逻辑符号框图外输入端的小圆圈表示该输入控制端为低电平有效,而输出端的小圆圈表示反码输出。即小圆圈实现了逻辑非运算,那么逻辑符号框图外对应的每个引脚的符号或变量名就默认为:当逻辑符号框图外引脚没有小圆圈时,那么该引脚对应的变量名与框图内符号一样,但用斜体表示是变量。例如,图3.3.1中的A 0、A 1、A 2和E 3;当框图外引脚有小圆圈时,那么该引脚对应的变量名是在框内符号上冠一非号,例如,图3.3.1中的0Y 、1Y 、…7Y 、2E 和1E 。以后框图外引脚对应的变量名不再标出,但使用时按照以上规定。但要注意这只是教材的规定,并不是标准,其它的参考书或器件手册中的标法可能会五花八门。因此,使用器件时,大家学会使用器件的方法是最重要的,这样面对不断出现的新器件才不会束手无策。通过大量的使用集成器件,大家会发现使用中小规模的集成器件只要了解以下几点即可: 1) 当输入信号端有小圆圈(一般是控制输入端),表示该端为低电平有效,当输出信 号端有小圆圈,表示器件工作时该端输出低电平有效; 2) 多控制端芯片只有当所有控制端同时有效时,才可以实现芯片的逻辑功能; 3) 如果资料中给出了器件的功能表,要学会看对应的功能表,器件功能以功能表为准; 4) 资料也是经常会有出错的情况,遇到问题可以通过实验来最后验证。 2. 集成计数器 计数器的功能是累计输入脉冲个数。它是数字系 图3.3.3 74LS393管脚图

相关主题
文本预览
相关文档 最新文档