当前位置:文档之家› 建电—供水实验报告

建电—供水实验报告

建电—供水实验报告
建电—供水实验报告

1小组成员:

王雪利1112062030 王慧1112062031 周莹1112062032 沈静1112062033

恒压变频供水实验

一、实验目的

1.熟悉变频恒压供水系统的实验原理;

2.掌握恒压供水系统的操作步骤和投运方法。

二、实验设备

1.THPHY-1 变频恒压供水系统实训装置一台

2.THPHY-2 变频恒压供水系统对象装置一套

3.PC机一台(配力控组态软件一套)

三、设计思路

变频恒压供水系统是一个包含了单回路定值控制和逻辑状态切换的综合控制系统。单泵控制变频恒压供水的实验,实际上是一个单回路压力定值控制系统的实验,它是变频恒压供水系统中最简单也是最基本的一种控制模式;其逻辑状态的切换是依靠单回路控制中PID 运算的结果、时间逻辑(休眠控制)和外部信号(消防控制)输入3种条件的组合进行控制。

四、流程图

五.硬件设计(实验接线)

1.将“THPHY-1”控制屏上4台水泵接口(U1、V1、W1~U4、V4、W4)分别与“THPHY-1”实训装置4台水泵的接口(U1、V1、W1~U4、V4、W4)对接,“主电路电源输出”(U、V、W、N)分别与“THPHY-1”实训装置“工频输入”接口(U0、V0、W0、N)对接;

2.将“PDC-20”变频器上的“~380V电源输入”接THPHY-1”控制屏上主电源输出(U、V、W分别接U、V、W),“变频输出”接“THPHY-1”实训装置的“变频输入”(A、B、C分别接U、V、W),变频器上的控制端口“STF”“RH”分别与“SD”短接,“4”、“5”分别接“THPHY-1”实训装置PLC的模拟量输出“Iout”、“COM”两端;

3.“THPHY-1”实训装置上PLC的模拟量输入“Iin1”、“COM1”端分别接THPHY-1”控制屏上压力变送器4~20mA的“+”、“-”端,并将“Iin1”和“Vin1”两端短接,PLC继电器输出“Y00~Y05”端分别接到“THPHY-1”实训装置面板上的水泵控制端“Y1~Y6”,PLC 继电器输出的“COM1、COM2”端短接后再接到“THPHY-1”实训装置面板中的“A”端。

4. 电气控制图

六、软件设计

做好系统投运前的测试工作,检查系统的工作状态。当各泵运转正常后,按如下步骤进行操作。

1.软件设计(PLC编程)

2.组态

3.将总电源和PLC电源打开,(PLC上电后进入监视状态),并将手自动控制开关拨到“手动”。

4.打开生活水系统的总阀和该水系统的所有的支路阀门,消防用水阀门保持关闭状态。

5.手动启动“常规泵1”。

6.运行上位机系统,读取当前的管网压力,记下该压力数值(如18~22kPa)。

7.手动停止“常规泵1”后,将手自动控制开关拨到“自动”。

8.在第4步测得的压力范围内设置好需求压力值(参考数值为15~20kPa之间),比例增益为80,积分时间为10,微分时间的设置权限保留,默认为0值。

9.按“启动”按钮,系统会自动调整变频器的输出,常规泵1在变频状态下运行。待当前管网压力达到需求压力,且基本稳定不变时,变频器就稳定在一个固定的频率值。

10.系统稳定后,调节用水量大小,(如关闭三、四层的生活用水阀),PLC会自动调节变频器的输出频率,直到新的平衡点为止。

七、实验总结

在这次智能楼宇的初次接触中,通过系统调试和软件熟悉,我们查阅资料,初步了解倍福BC9000总线端子的使用,同时也学习了TwinCAT的使用,掌握了BC9000与PC机之间通过网线来编程的一个基本步骤和要点。实验过程虽然短暂,但我们对智能楼宇整套系统的认识加深了,通过相互合作学会了很多知识,对日后的专业发展也是受益匪浅的。

小组成员

王雪利 1112062030 绘制CAD图、调试

王慧 1112062031 查资料

周莹 1112062032 写报告、硬件设计

沈静 1112062033 编程设计

电工学实验报告A2

请在左侧装订成册 大连理工大学Array本科实验报告 课程名称:电工学实验A(二)学院(系): 专业: 班级: 学号: 学生姓名: 联系电话: 2015 年~ 2016 年第2 学期

实验项目列表 姓名:学号: 注意集成运算放大器实验的上课时间(3学时):第一节:(1.2节课)7:30 第二节:(3.4节课)10:05 第三节:(5.6节课)13:00 第四节:(7.8节课)15:30 第五节:(9.10节课)18:00

电工学实验须知 一. 选课要求 实验选课前需确认已在教务选课系统中选择该课程。电工学实验实行网上选课,请按选课时间上课,有特殊情况需事先请假,无故选课不上者按旷课处理,不给补做,缺实验者不给成绩。 二. 预习要求 1.课前认真阅读实验教程,复习相关理论知识,学习本节实验预备知识,回答相关 问题,按要求写好预习报告,注意实验内容有必做实验和选做实验; 2.课前在实验报告中绘制电路原理图及实验数据表格(用铅笔、尺作图); 3.课前在实验报告中列出所用实验设备及用途、注意事项(设备型号课后填写); 4.设计性实验和综合性实验要求课前完成必要的电路设计和实验方案设计; 5.没有预习报告或预习报告不合格者不允许做实验。 三. 实验课上要求 1.每个实验均须独立完成,抄袭他人数据记0分,严禁带他人实验报告进入实验室; 2.认真完成实验操作和观测; 3.所有实验记录均需指导教师确认(盖印),否则无效; 4.请遵守《电工学实验室安全操作规则》。 四. 实验报告 1.请按要求提交预习报告; 2.所有绘图必须用坐标纸绘图,并自行粘贴在报告上; 3.实验完毕需各班统一提交实验报告,没有按要求提交报告者不给成绩;抄袭实验 报告记0分。

武汉理工大学电工学实验报告

[电子电工实习报告] 车辆1104班 吴昊宇 2019年7月11日

目录 1.0实验目的 (3) 1.1实验原理 (4) 1.1.1原理图及原理说明 (4) 1.1.2电路装配图 (7) 1.1.3连线图 (7) 1.2实验内容 (8) 1.2.1实训过程 (8) 1.2.2元件清单 (8) 1.2.3作品展示 (22) 1.2.4实验数据分析 (23) 1.3总结 (23)

1.0实验目的 随着现代化技术的发展,电工电子技术在现代化生活中应用越来越广泛,小到家用电器,大到军事设备,在这些形形色色的种类繁多的设备中都用到了电工电子技术。很多的自动化半自动化控制的未处理系统都是以电子元件为基本单元,通过集成电路来实现的,这就要求工科学生掌握基本的电路设计、制作、检查和维修知识。 本实验的目的如下: ●强化安全用电意识,掌握基本安全用电操作方式。 ●基本掌握公共电烙铁的焊接技术,能够独立的完成简单电子产品的安装和焊接、拆卸过程,能掌握基本的电路维修维修方法。 ●基本掌握电路原理图、装配图的绘制,能独立的完成简单电子电路的设计。 ●了解常用电子器件的类别型号、规格、性能及其使用范围。 ●能够正确识别常用电子元件,并通过查阅相关手册了解其相关参数。 ●熟练的掌握万用表等仪表,并能够独立的检测电路的各种参数,且能检测出简单的电路问题。

1.1实验原理 1.1.1原理图及原理说明 图18 彩灯音乐盒电原理图 本电路以555芯片、二极管、三极管、电解电容与瓷介电容、音乐芯片、喇叭为其核心元件,LED交替发光产生明暗变化,伴随着喇叭发出事先录制的音乐。 工作原理综述:电源开关K1闭合,发光二极管LED3亮,开始由于电容C1短路,所以555芯片的2和6脚为低电平0,又4脚恒为高电位1,由555芯片的输出特性知输出端3为高电平1,LED1亮,三极管VT2截止,LED2灭,7 C1通过电阻R1,R3充电,2和6脚电位升高,最终达到高电平1、3脚输出低电平0,LED1灭,三极管VT2导通,LED2亮,7为低阻态,通过电源负开始放电致使2和6脚电位降低至0,3脚又输出高电位1,LED1亮LED2灭,循环往复。而LED3绿灯和喇叭都一直接

20152567-李莹-实验报告4

《大数据技术原理与应用》实验报告 题目:MapReduce编程初级实践 姓名:李莹 日期:2018.6.22

实验四MapReduce编程初级实践 一、实验环境 操作系统:Linux 工具:Eclipse或者Intellij Idea等Java IDE 二、实验内容 1.安装Hbase 2.创建文件

3.上传文件夹 4.创建项目 5.编程 package org.apache.hadoop.examples; public class WordCount { public WordCount() { } public static void main(String[] args) throws Exception { Configuration conf = new Configuration(); String[] otherArgs = (new GenericOptionsParser(conf, args)).getRemainingArgs(); if(otherArgs.length < 2) {

System.err.println("Usage: wordcount [...] "); System.exit(2); } Job job = Job.getInstance(conf, "word count"); job.setJarByClass(WordCount.class); job.setMapperClass(WordCount.TokenizerMapper.class); job.setCombinerClass(WordCount.IntSumReducer.class); job.setReducerClass(WordCount.IntSumReducer.class); job.setOutputKeyClass(Text.class); job.setOutputValueClass(IntWritable.class); for(int i = 0; i < otherArgs.length - 1; ++i) { FileInputFormat.addInputPath(job, new Path(otherArgs[i])); } FileOutputFormat.setOutputPath(job, new Path(otherArgs[otherArgs.length - 1])); System.exit(job.waitForCompletion(true)?0:1); } public static class IntSumReducer extends Reducer { private IntWritable result = new IntWritable(); public IntSumReducer() { } public void reduce(Text key, Iterable values, Reducer.Context context) throws IOException, InterruptedException { int sum = 0;

西工大2016数电实验报告1

实验1 TTL集成门电路逻辑变换 一、实验目的 (1)掌握各种TTL门电路的逻辑功能。 (2)掌握验证逻辑门电路功能的方法。 (3)掌握空闲输入端的处理方法。 二、实验设备 (1)数字电路实验箱 (2) 74LS00集成门电路 三、实验原理 门电路是数字逻辑电路的基本组成单元,门电路按逻辑功能可分为与门、或门、非门及与非门、或非门、异或门等。按电路结构组成的不同,可分为分立元件门电路、CMOS集成门电路、TTL集成门电路等。集成门电路通常封装在集成芯片内,一般有双列直插和表面贴装两种封装形式。实验中常用的封装形式为双列直插式。每个集成电路都有自己的代号,与代号对应的名称形象地说明了集成电路的用途。如74LS00是二输入端四与非门,它说明了这个集成电路中包含了四个二输入端的与非门。 四、实验内容 (1)测试74LS00四个与非门逻辑功能是否正常。用MULTISIM软件仿真之后,搭接实际电路图测试。 (2)用与非门实现“与”逻辑,用MULTISIM软件仿真之后,搭接实际电路图测试。

(3)用与非门实现“或”逻辑,用MULTISIM软件仿真之后,搭接实际电路图测试。 (4)用与非门实现“异或”逻辑,用MULTISIM软件仿真之后,搭接实际电路图测试。

五、实验结果 通过计算机仿真和搭建实际的电路图可得如下的真值表。 (1)测试74LS00四个与非门逻辑功能

(2)用与非门实现“与”逻辑 (3)用与非门实现“或”逻辑

(4)用与非门实现“异或”逻辑 思考题:用与非门实现 Y=AB+AC+BC,创建逻辑测试电路,记录测试真值表. (做了的同学请将电路图和真值表记在实验报告中.) (1)电路图如下:

西工大_数电实验_第四次实验_实验报告

数电实验4 一.实验目的 熟悉用仿真法研究数字电路实验的过程,实现一个彩灯控制电路。 熟练使用VHDL语言 二.实验设备 1.Quartus开发环境 2.ED0开发板 三.实验内容 1、彩灯控制电路要求控制4个彩灯; 2、两个控制信号: K1K0= 00 灯全灭 01 右移,循环显示 10 左移,循环显示 11 灯全亮 3.彩灯正常工作的同时,四个七段数码管循环显示第一个同学的学号后四位一秒,第二个同学的学号后四位一秒,全黑一秒。 四.实验原理 1.彩灯控制电路的程序如下: LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.std_logic_ARITH.ALL; USE IEEE.std_logic_UNSIGNED.ALL; ENTITY led IS port( clk:in std_logic; data_in:IN STD_LOGIC_VECTOR(1 DOWNTO 0); data_out:out std_logic_vector(3 downto 0); data_out1,data_out2,data_out3,data_out4:out std_logic_vector(6 downto 0)); END led; ARCHITECTURE control OF led IS CONSTANT m : INTEGER:= 25000000; BEGIN PROCESS(data_in,clk) V ARIABLE cout : INTEGER:=0; V ARIABLE i : INTEGER:=0; BEGIN IF clk'EVENT AND clk='1' THEN cout:=cout+1; --计数器+1 i:=i+1; --计数器+1

电工学实验答案

哈哈、b两端电压测量的准确性。 电流表的内阻越小越好,以减小其上的电压,以保证a、b支路电流测量的准确性。 实验4 RLC串联交流电路的研究 七、实验报告要求及思考题 2列表整理实验数据,通过实验总结串联交流电路的特点。 答:当X L X C时,电路呈电感性,此时电感上的电压大于电容上的电压,且电压超前电流。 当X L=X C时,电路发生串联谐振,电路呈电阻性,此时电感上的电压与电容上的电压近似相等,且大于输入电压。电路中的电流最大,电压与电流同相位。 4从表4.1~4.3中任取一组数据(感性、容性、电阻性),说明总电压与分电压的关系。答:取f=11kHz时的数据:U=6V,U R=3.15V,U Lr=13.06V,U C=8.09V,将以上数据代入 公式 2 2 2 2) ( ) ( C L C L R X X R I U U U U- + = - + = =5.88V,近似等于输入电压6V。 6实验数据中部分电压大于电源电压,为什么? 答:因为按实验中所给出的频率,X L及X C的值均大于电路中的总阻抗。 9本实验中固定R、L、C参数,改变信号源的频率,可改变电路的性质。还有其它改变电路性质的方法吗? 答:也可固定频率,而改变电路中的参数(R、L、C)来改变电路的性质。 实验5 感性负载与功率因数的提高 七、实验报告要求及思考题 6根据表5.2所测数据和计算值,在坐标纸上作出I=f(C)及cos ?= f(C)两条曲线。 说明日光灯电路要提高功率因数,并联多大的电容器比较合理,电容量越大,是否越高? 答:并联2.88uF的电容最合理,所得到的功率因数最大.由实验数据看到,并联最大电容4.7uF时所得的功率因数并不是最大的,所以可以得出,并不是电容量越大,功率因数越高. 8说明电容值的改变对负载的有功功率P、总电流I,日光灯支路电流I RL有何影响?答:电容值的改变并不会影响负载的有功功率及日光灯支路的电流. 11提高电路的功率因数为什么只采用并联电容法,而不采用串联法? 答:因为串联电容虽然也可以提高功率因数,但它会使电路中的电流增大,从而增大日光灯的有功功率,可能会超过它的额定功率而使日光灯损坏. 实验6 三相交流电路 七、实验报告要求及思考题 2根据实验数据分析:负载对称的星形及三角形联接时U l与U p,I l与I p之间的关系。分析星形联接中线的作用。按测量的数据计算三相功率。

电工基础实验报告

电工基础实验报告 电工学 实验报告 实训时间:2012/3/26 指导老师: _______

班级:_1_ 姓名: ________ 学号:11

科目 电子电工技术班级 1 报告人:_同组学 生 __________ 日期2012 年 3 月_26 日 图1-38直流电路基本测量实验电路 广州大学给排水工程专业学生实验报告 NO 1

解:由图中可知,图中共有3个支路,AFED, AD,ABCD, 因为流经各支路的电流相等,所以 I i =I 4 I 2=15 图中有两个节点A 和D 根据基尔霍夫定律( KCL )节点个数n=2,支路个数 b=3对节点A 有I 1+ I 2= I 3 对于网孔ADEFA,按顺时针循环一周,根据 电压和电流的参考方向可以列出 I i R i +13R 3+14R 4 E 1 I i 510 I 3510 14510 6V 对于网孔ADCBA,按顺时针循环一周,根据 电压和电流的参考方向可以列出 I 2R 2 +I 3R 3 + I 5R 5 = E 2 l 21000 +l 3510 +l 5330 =12V 联立方程得 F U 1 + - I 1 / —? \ I 2 < -- U 2 - + R 1 510 Q R 2 1k Q f 3 + + R3 E 1 C )6V U 3 510 Q 12V 厂 1, U 4 U 5 B E D + + R 4 510 Q R 5 330 Q + E 2

I 1=1.92mA 12 5.98mA 13 7.90mA 各电阻两端的电压 U 1=I 1R 1=1.92 10-3 510=0.9792V U 2=I 2R 2 5.98 10-3 1000 5.98V U 3=I 3R 3=7.9 10「3 510=4.029V U 4=I 4R 4 U 3=I 3R 3=7.9 10-3 510=4.029V U 5=I 5R 5=I 2R 5 5.98 10-3 330=1.973V 以A 点作为参考点则V A = 0 U AD =0 U 3 0 4.029V 4.029V U BF U BA U FA 5.980V 0.9792V 5.0008V U CE U CA U EA 1.9734V 4.029V 2.0556 [、f ZX — 、/十 ryj r [ V D = 0 以D 点作为参考点则 U AD U 3 4.029V U BF U BD U FD =5.980V 0.9792V 5.0008/ U CE U CD U ED 1.9734V 4.029V 2.0556V 厂 h510 打510 I 2IOOO 4510 2 I 1+| 2 =I 3 11 I 4 12 I 5 L I 1=1.92mA 12 5.98mA 13 7.90mA I 4510 6V I 5 330 =12V

大数据分析报告与挖掘实验报告材料

《数据挖掘》实验报告 目录 1.关联规则的基本概念和方法 (1) 1.1数据挖掘 (1) 1.1.1数据挖掘的概念 (1) 1.1.2数据挖掘的方法与技术 (1) 1.2关联规则 (2) 1.2.1关联规则的概念 (2) 1.2.2关联规则的实现——Apriori算法 (3) 2.用Matlab实现关联规则 (5) 2.1Matlab概述 (5) 2.2基于Matlab的Apriori算法 (6) 3.用java实现关联规则 (10) 3.1java界面描述 (10) 3.2java关键代码描述 (13) 4、实验总结 (18) 4.1实验的不足和改进 (18) 4.2实验心得 (19)

1.关联规则的基本概念和方法 1.1数据挖掘 1.1.1数据挖掘的概念 计算机技术和通信技术的迅猛发展将人类社会带入到了信息时代。在最近十几年里,数据库中存储的数据急剧增大。数据挖掘就是信息技术自然进化的结果。数据挖掘可以从大量的、不完全的、有噪声的、模糊的、随机的实际应用数据中,提取隐含在其中的,人们事先不知道的但又是潜在有用的信息和知识的过程。 许多人将数据挖掘视为另一个流行词汇数据中的知识发现(KDD)的同义词,而另一些人只是把数据挖掘视为知识发现过程的一个基本步骤。知识发现过程如下:·数据清理(消除噪声和删除不一致的数据) ·数据集成(多种数据源可以组合在一起) ·数据转换(从数据库中提取和分析任务相关的数据) ·数据变换(从汇总或聚集操作,把数据变换和统一成适合挖掘的形式) ·数据挖掘(基本步骤,使用智能方法提取数据模式) ·模式评估(根据某种兴趣度度量,识别代表知识的真正有趣的模式) ·知识表示(使用可视化和知识表示技术,向用户提供挖掘的知识)。 1.1.2数据挖掘的方法与技术 数据挖掘吸纳了诸如数据库和数据仓库技术、统计学、机器学习、高性能计算、模式识别、神经网络、数据可视化、信息检索、图像和信号处理以及空间数据分析技术的集成等许多应用领域的大量技术。数据挖掘主要包括以下方法。 神经网络方法:神经网络由于本身良好的鲁棒性、自组织自适应性、并行处理、分布存储和高度容错等特性非常适合解决数据挖掘的问题,因此近年来越来越受到人们的关注。典型的神经网络模型主要分3大类:以感知机、bp反向传播模型、函数型网络为代表的,用于分类、预测和模式识别的前馈式神经网络模型;以hopfield的离散模型和连续模型为代表的,分别用于联想记忆和优化计算的反馈式神经网络模型;以art模型、koholon模型为代表的,用于聚类的自组织映射方法。神经网络方法的缺点是"黑箱"性,人们难以理解网络的学习和决策过程。 遗传算法:遗传算法是一种基于生物自然选择与遗传机理的随机搜索算法,是一种仿生全局优化方法。遗传算法具有的隐含并行性、易于和其它模型结合等性质使得它在数据挖掘中被加以应用。sunil已成功地开发了一个基于遗传算法的数据挖掘工具,利用该工具对两个飞机失事的真实数据库进行了数据挖掘实验,结果表明遗传算法是进行数据挖掘的有效方法之一。遗传算法的应用还体现在与神经网络、粗糙集等技术的结合上。如利用遗传算法优化神经网络结构,在不增加错误率的前提下,删除多余的连接和隐层单元;用遗传算法和bp算法结合训练神经网络,然后从网络提取规则等。但遗传算法的算法较复杂,收敛于局部极小的较早收敛问题尚未解决。 决策树方法:决策树是一种常用于预测模型的算法,它通过将大量数据有目的分类,从

数电实验报告:实验4-计数器及应用161

广东海洋大学学生实验报告书(学生用表) 实验名称 课程名称 课程号 学院(系) 专业 班级 学生姓名 学号 实验地点 实验日期 实验4 计数器及其应用 一、实验目的 1、熟悉中规模集成计数器的逻辑功能及使用方法 2、掌握用74LS161构成计数器的方法 3、熟悉中规模集成计数器应用 二、实验原理 计数器是典型的时序逻辑电路,它是用来累计和记忆输入脉冲的个数.计数是数字系统中很重要的基本操作,集成计数器是最广泛应用的逻辑部件之一。计数器种类较多,按构成计数器中的多触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器;根据计数制的不同,可分为二进制计数器、十进制计数器和任意进制计数器;根据计数的增减趋势,又分为加法、减法和可逆计数器。还有可预置数和可编程序功能计数器等。本实验主要研究中规模十进制计数器74LS161的功能及应用。 1、中规模集成计数器 74LS161 是四位二进制可预置同步计数器,由于它采用4 个主从JK 触发器作为记忆单元,故又称为四位二进制同步计数器,其集成芯片管脚如图1所示: 管脚符号说明:电源正端Vcc ,接+5V ;异步置零(复位)端Rd ;时钟脉冲CP ;预置数控制端 A 、B 、C 、D ;数据输出端 QA 、QB 、QC 、QD ;进位输出端 RCO :使能端EP ,ET ;预置端 LD ; 图1 74LS161 管脚图 GDOU-B-11-112

该计数器由于内部采用了快速进位电路,所以具有较高的计数速度。各触发器翻转是靠时钟脉冲信号的正跳变上升沿来完成的。时钟脉冲每正跳变一次,计数器内各触发器就同时翻转一次,74LS161的功能表如表1所示: 表1 74LS161 逻辑功能表 2、实现任意进制计数器 由于74LS161的计数容量为16,即计16个脉冲,发生一次进位,所以可以用它构成16进制以内的各进制计数器,实现的方法有两种:置零法(复位法)和置数法(置位法)。 (1) 用复位法获得任意进制计数器假定已有N进制计数器,而需要得到一个M进制计数器时,只要M<N,用复位法使计数器计数到M时置“0”,即获得M进制计数器。 (2) 利用预置功能获M进制计数器置位法与置零法不同,它是通过给计数器重复置入某个数值的的跳越N-M个状态,从而获得M进制计数器的,如图所法。置数操作可以在电路的任何一个状态下进行。这种方法适用于有预置功能的计数器电路。图2是上述二种方法的原理示意图。 图2(a) 图2(b) 三、实验内容与步骤 1、测试74LS161的逻辑功能。 2、在熟悉74LS161逻辑功能的基础上,利用74LS161设计9进制计数器。 附图74ls00和74ls20

大数据实验报告

学生实验报告册 (理工类) 课程名称:大型数据库技术专业班级:12计算机科学与技术(1)学生学号:学生姓名: 所属院部:计算机工程学院指导教师:陈爱萍

2014——20 15学年第2 学期 金陵科技学院教务处制

实验报告书写要求 实验报告原则上要求学生手写,要求书写工整。若因课程特点需打印的,要遵照以下字体、字号、间距等的具体要求。纸张一律采用A4的纸张。 实验报告书写说明 实验报告中一至四项内容为必填项,包括实验目的和要求;实验仪器和设备;实验内容与过程;实验结果与分析。各院部可根据学科特点和实验具体要求增加项目。 填写注意事项 (1)细致观察,及时、准确、如实记录。 (2)准确说明,层次清晰。 (3)尽量采用专用术语来说明事物。 (4)外文、符号、公式要准确,应使用统一规定的名词和符号。 (5)应独立完成实验报告的书写,严禁抄袭、复印,一经发现,以零分论处。 实验报告批改说明 实验报告的批改要及时、认真、仔细,一律用红色笔批改。实验报告的批改成绩采用百分制,具体评分标准由各院部自行制定。 实验报告装订要求 实验批改完毕后,任课老师将每门课程的每个实验项目的实验报告以自然班为单位、按学号升序排列,装订成册,并附上一份该门课程的实验大纲。

实验项目名称:Oracle数据库安装与配置实验学时: 1 同组学生姓名:实验地点:1316 实验日期:2015/3/27 实验成绩: 批改教师:陈爱萍批改时间:

实验1:Oracle数据库安装与配置 一、实验目的和要求 (1)掌握Oracle数据库服务器的安装与配置。 (2)了解如何检查安装后的数据库服务器产品,验证安装是否成功。 (3)掌握Oracle数据库服务器安装过程中出现的问题的解决方法。 (4)完成Oracle 11g数据库客户端网路服务名的配置。 (5)检查安装后的数据库服务器产品可用性。 (6)解决Oracle数据库服务器安装过程中出现的问题。 二、实验设备、环境 设备:奔腾Ⅳ或奔腾Ⅳ以上计算机 环境:WINDOWS 7、ORACLE 11g中文版 三、实验步骤 (1)从Oracle官方网站下载与操作系统匹配的Oracle 11g数据库服务器和客户机安装程序。 (2)解压Oracle 11g数据库服务器安装程序,进行数据库服务器软件的安装。

西工大-数电实验-第二次实验-实验报告

数电实验2 一.实验目的 1.学习并掌握硬件描述语言(VHDL 或 Verilog HDL);熟悉门电路的逻辑功能,并用硬件描述语言实现门电路的设计。 2.熟悉中规模器件译码器的逻辑功能,用硬件描述语言实现其设计。 3.熟悉时序电路计数器的逻辑功能,用硬件描述语言实现其设计。 4.熟悉分频电路的逻辑功能,并用硬件描述语言实现其设计。 二.实验设备 1.Quartus开发环境 2.ED0开发板 三.实验内容 要求1:编写一个异或门逻辑电路,编译程序如下。 1)用 QuartusII 波形仿真验证; 2)下载到DE0 开发板验证。 要求2:编写一个将二进制码转换成 0-F 的七段码译码器。 1)用 QuartusII 波形仿真验证; 2)下载到 DE0 开发板,利用开发板上的数码管验证。 要求3:编写一个计数器。 1)用QuartusII 波形仿真验证; 2)下载到 DE0 开发板验证。 要求4:编写一个能实现占空比 50%的 5M 和50M 分频器即两个输出,输出信号频率分别为 10Hz 和 1Hz。 1)下载到 DE0 开发板验证。(提示:利用 DE0 板上已有的 50M 晶振作为输入信号,通过开发板上两个的 LED 灯观察输出信号)。 2)电路框图如下: 扩展内容:利用已经实现的 VHDL 模块文件,采用原理图方法,实现 0-F 计数自动循环显示,频率 10Hz。(提示:如何将 VHDL 模块文件在逻辑原理图中应用,参考参考内容 5) 四.实验原理 1.实验1实现异或门逻辑电路,VHDL源代码如下: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL;

数电实验报告1-数电实验报告实验一

实验一门电路逻辑功能及测试 一、实验目得 1、熟悉门电路逻辑功能。 2、熟悉数字电路学习机及示波器使用方法。 二、实验仪器及材料 1、双踪示波器 2、器件 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86二输入端四异或门1片 74LS04 六反相器1片 三、预习要求 1、复习门电路工作原理相应逻辑表达示。 2、熟悉所有集成电路得引线位置及各引线用途。 3、了解双踪示波器使用方法。 四、实验内容 实验前按学习机使用说明先检查学习机就是否正常,然后选择实验用得集成电路,按自己设计得实验接线图接好连线,特别注意Vcc及地线不能接错。线接好后经实验指导教师检查无误方可通电。试验中改动接线须先断开电源,接好线后在通电实验。 1、测试门电路逻辑功能。 (1)选用双输入与非门74LS20一只,插入面包板,按图 连接电路,输入端接S1~S4(电平开关输入插口),输 出端接电平显示发光二极管(D1~D8任意一个)。 (2)将电平开关按表1、1置位,分别测出电压及逻辑状态。(表1、1)

2、异或门逻辑功能测试 (1)选二输入四异或门电路74LS86,按图接线,输入端1﹑2﹑4﹑5接电平开关,输出端A﹑B﹑Y接电平显示发光二极管。 (2)将电平开关按表1、2置位,将结果填入表中。 表1、2 3、逻辑电路得逻辑关系

(1)选用四二输入与非门74LS00一只,插入面包板,实验电路自拟。将输入输出逻辑关系分 别填入表1、3﹑表1、4。 (2)写出上面两个电路得逻辑表达式。 表1、3 Y=A ⊕B 表1、4 Y=A ⊕B Z=AB 4、逻辑门传输延迟时间得测量 用六反相器(非门)按图1、5接线,输80KHz 连续脉冲,用双踪示波器测输入,输出相位差,计算每个门得平均传输延迟时间得tpd 值 : tpd =0、2μs/6=1/30μs 5、利用与非门控制输出。 选用四二输入与非门74LS00一只,插入面包板,输入接任一电平开关,用示波器观察S对输出脉冲得控制作用: 一端接高有效得脉冲信号,另一端接控制信号。只有控制信号端为高电平时,脉冲信号才能通过。这就就是与非门对脉冲得控制作用。 6.用与非门组成其她门电路并测试验证 (1)组成或非门。 用一片二输入端与非门组成或非门 Y = A+ B = A ? B 画出电路图,测试并填表1、5 中。 表1、5 图如下: (2)组成异或门 ① 将异或门表达式转化为与非门表达式。 A ⊕B={[(AA)'B]'[A( B B)']}' ② 画出逻辑电路图。 ③ 测试并填表1、6。表1、6

电子电工综合实验报告

电工电子综合试验——数字计时器实验报告 学号: 姓名: 学院: 专业:通信工程

目录 一,实验目的及要求 二,设计容简介 四,电路工作原理简述 三,设计电路总体原理框图五,各单元电路原理及逻辑设计 1. 脉冲发生电路 2. 计时电路和显示电路 3. 报时电路 4. 较分电路 六引脚图及真值表

七收获体会及建议 八设计参考资料 一,实验目的及要求 1,掌握常见集成电路实现单元电路的设计过程。 2,了解各单元再次组合新单元的方法。 3,应用所学知识设计可以实现00’00”—59’59”的可整点报时的数字计时器 二,设计容简介: 1,设计实现信号源的单元电路。( KHz F Hz F Hz F Hz F1 4 , 500 3 , 2 2 , 1 1≈ ≈ ≈ ≈ ) 2,设计实现00’00”—59’59”计时器单元电路。 3,设计实现快速校分单元电路。含防抖动电路(开关k1,频率F2,校分时秒计时器停止)。4,加入任意时刻复位单元电路(开关K2)。 5,设计实现整点报时单元电路(产生59’53”,59’55”,59’57”,三低音频率F3,59’59”一高音频率F4)。 三,设计电路总体原理框图 设计框图: 四,电路工作原理简述 电路由振荡器电路、分频器、计数器、译码器、显示器、校时电路和报时电路组成。振荡器产生的脉冲信号经过十二级分频器作为秒脉冲,秒脉冲送入计数器,计数器通过“时”、“分”、“秒”译码器显示时间,将分秒计时器分开,加入快速校分电路与防抖动电路,并控制秒计

时器停止工作。较分电路实现对“分”上数值的控制,而不受秒十位是否进位的影响,在60进制控制上加入任意时刻复位电路。报时电路通过1kHz或2kHz的信号和要报时的时间信号进行“与”的运算来实现的顶点报时的,通过两个不同频率的脉冲信号使得在不同的时间发出不同的声响。 五,各单元电路原理及逻辑设计 (1)脉冲发生电路 脉冲信号发生电路是危机时期提供技术脉冲,此次实验要求产生1HZ的脉冲信号。用NE555集成电路和CD4040构成。555定时器用来构成多谐振荡器,CD4040产生几种频率为后面电路使用。 实验电路如下(自激多谐振荡电路,周期矩形波发生电路) 震荡周期T=0.695(R1+2*R2)C,其中R1=1KΩ,R2=3KΩ,C=0.047uf,计算T=228.67*10-6 s ,f=4373.4Hz产生的脉冲频率为4KHz,脉冲信号发生电路 和CD4040连接成如图所示的电路,则从Q12输出端可以得到212分频信号F1,即1Hz的信号,Q11可以得到F2即2Hz的信号提供给D触发器CP和校分信号,Q3输出分频信号500Hz,Q2输出1KHz提供给报时电路 二,秒计时电路 应用CD4518及74LS00可以设计该电路,CD4518是异步清零,所以在进行分和秒十位计数的时候,需要进行清零,而在个位计数的时候不需要清零。所以Cr2=2QcQb,Cr4=4Qc4QB。当秒个位为1001时,秒十位要实现进位,此时需要EN2=1Qd,同理分的个位时钟EN3=2Qc,分十位时钟端EN4=3Qd。因此,六十进制计数器逻辑电路如下图所示

大数据挖掘weka大数据分类实验报告材料

一、实验目的 使用数据挖掘中的分类算法,对数据集进行分类训练并测试。应用不同的分类算法,比较他们之间的不同。与此同时了解Weka平台的基本功能与使用方法。 二、实验环境 实验采用Weka 平台,数据使用Weka安装目录下data文件夹下的默认数据集iris.arff。 Weka是怀卡托智能分析系统的缩写,该系统由新西兰怀卡托大学开发。Weka使用Java 写成的,并且限制在GNU通用公共证书的条件下发布。它可以运行于几乎所有操作平台,是一款免费的,非商业化的机器学习以及数据挖掘软件。Weka提供了一个统一界面,可结合预处理以及后处理方法,将许多不同的学习算法应用于任何所给的数据集,并评估由不同的学习方案所得出的结果。 三、数据预处理 Weka平台支持ARFF格式和CSV格式的数据。由于本次使用平台自带的ARFF格式数据,所以不存在格式转换的过程。实验所用的ARFF格式数据集如图1所示 图1 ARFF格式数据集(iris.arff)

对于iris数据集,它包含了150个实例(每个分类包含50个实例),共有sepal length、sepal width、petal length、petal width和class五种属性。期中前四种属性为数值类型,class属性为分类属性,表示实例所对应的的类别。该数据集中的全部实例共可分为三类:Iris Setosa、Iris Versicolour和Iris Virginica。 实验数据集中所有的数据都是实验所需的,因此不存在属性筛选的问题。若所采用的数据集中存在大量的与实验无关的属性,则需要使用weka平台的Filter(过滤器)实现属性的筛选。 实验所需的训练集和测试集均为iris.arff。 四、实验过程及结果 应用iris数据集,分别采用LibSVM、C4.5决策树分类器和朴素贝叶斯分类器进行测试和评价,分别在训练数据上训练出分类模型,找出各个模型最优的参数值,并对三个模型进行全面评价比较,得到一个最好的分类模型以及该模型所有设置的最优参数。最后使用这些参数以及训练集和校验集数据一起构造出一个最优分类器,并利用该分类器对测试数据进行预测。 1、LibSVM分类 Weka 平台内部没有集成libSVM分类器,要使用该分类器,需要下载libsvm.jar并导入到Weka中。 用“Explorer”打开数据集“iris.arff”,并在Explorer中将功能面板切换到“Classify”。点“Choose”按钮选择“functions(weka.classifiers.functions.LibSVM)”,选择LibSVM分类算法。 在Test Options 面板中选择Cross-Validatioin folds=10,即十折交叉验证。然后点击“start”按钮:

数电实验实验报告

数字电路实验报告

实验一 组合逻辑电路分析 一.试验用集成电路引脚图 74LS00集成电路 74LS20集成电路 四2输入与非门 双4输入与非门 二.实验内容 1.实验一 自拟表格并记录: 2.实验二 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开。否则,报警信号为“1”,则接通警铃。试分析密码锁的密码ABCD 是什么? X1 2.5 V A B C D 示灯:灯亮表示“1”,灯灭表示“0” ABCD 按逻辑开关,“1”表示高电平,“0”表示低电平

ABCD 接逻辑电平开关。 最简表达式为:X1=AB ’C ’D 密码为: 1001 A B C D X1 X2 A B C D X1 X2 0 0 0 0 0 1 1 0 0 0 0 1 0 0 0 1 0 1 1 0 0 1 1 0 0 0 1 0 0 1 1 0 1 0 0 1 0 0 1 1 0 1 1 0 1 1 0 1 0 1 0 0 0 1 1 1 0 0 0 1 0 1 0 1 0 1 1 1 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 1 0 1 1 1 1 1 1 1 1 1 三.实验体会: 1.分析组合逻辑电路时,可以通过逻辑表达式,电路图和真值表之间的相互转换来到达实验所要求的目的。 2.这次试验比较简单,熟悉了一些简单的组合逻辑电路和芯片 ,和使用仿真软件来设计和构造逻辑电路来求解。 实验二 组合逻辑实验(一) 半加器和全加器 一.实验目的 1. 熟悉用门电路设计组合电路的原理和方法步骤 二.预习内容 1. 复习用门电路设计组合逻辑电路的原理和方法步骤。 2. 复习二进制数的运算。 3. 用“与非门”设计半加器的逻辑图。 4. 完成用“异或门”、“与或非”门、“与 非”门设计全加器的逻辑图。 5. 完成用“异或”门设计的3变量判奇 电路的原理图。 三.元 件参考 U1A 74LS00D U1B 74LS00D U1C 74LS00D U1D 74LS00D U2A 74LS00D U2B 74LS00D U2C 74LS00D U3A 74LS20D X1 2.5 V X2 2.5 V VCC 5V A B C D

数电实验实验报告(Quartus)数码管循环显示

实验10 1、结果:同时显示012345 代码: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY sweep IS PORT( clk,clr:IN STD_LOGIC; --clk输入时钟;clr状态清零 a:OUT STD_LOGIC_VECTOR (6 DOWNTO 0); --输出数码管相同段 sweep:OUT STD_LOGIC_VECTOR (5 DOWNTO 0) --输出cat(数码管选通控制信号)端); END sweep; ARCHITECTURE sweep_arch OF sweep IS SIGNAL sweep_arc:STD_LOGIC_VECTOR (5 DOWNTO 0); --声明内部信号(选通控制信号)SIGNAL b:STD_LOGIC_VECTOR (6 DOWNTO 0); --声明内部信号(相同段信号) BEGIN PROCESS(sweep_arc) BEGIN IF (clk'event and clk='1') THEN IF clr='0' THEN --状态清零 sweep_arc <="011111" ; b <="0000000"; ELSE case sweep_arc IS --选通控制信号 WHEN"011111" => sweep_arc <="111110"; WHEN"111110" => sweep_arc <="111101"; WHEN"111101" => sweep_arc <="111011"; WHEN"111011" => sweep_arc <="110111"; WHEN"110111" => sweep_arc <="101111"; WHEN"101111" => sweep_arc <="011111"; WHEN OTHERS => sweep_arc <="011111"; END CASE; CASE sweep_arc IS --相同段信号 WHEN"011111" => b <="1011011"; WHEN"101111" => b <="0110011"; WHEN"110111" => b <="1111001"; WHEN"111011" => b <="1101101"; WHEN"111101" => b <="0110000"; WHEN"111110" => b <="1111110";

实验八实验报告电工学

中山大学电工原理及其应用实验报告 SUN YAT-SEN UNIVERSITY 院(系):移动信息工程学号:审批 专业:软件工程实验人: 实验题目:实验九:BJT单管共射电压放大电路 一、实验目的 1. 掌握放大电路静态工作点的测试方法,分析静态工作点对放大器性能的影响。 2. 掌握放大电路动态性能(电压增益、输入电阻、输出电阻、最大不失真输出电压 以及幅频特性等)的测试方法。 3. 进一步熟练常用电子仪器的使用 二、预习思考题 1.阅读教材中有关单管放大电路的内容并估算实验电路的性能指标。 假设:3DG6 的β=100,Rb2=20KΩ,Rb1=60KΩ,RC=2KΩ,RL=2KΩ。 估算放大电路的静态工作点,电压增益AV,输入电阻Ri和输出电阻RO 2、阅读实验附录中有关示波器的使用、晶体管特性图示仪简介以及放大电路干扰和自激振荡 消除的内容。 3、能否用直流电压表直接测量晶体管的VBE?为什么实验中要采用测VB、VE,再间接算出VBE的方法? 答:一般的电压表直接测不准,会引起电路参数变化,因为电表直接接在输入端,形成额外的输入信号。而测UB、UE时,电压表的一端是接地的,不容易形成额外输入。 4、怎样测量Rb1阻值? 答:用万用表电阻档测量。 5、当调节偏置电阻Rb1,使放大电路输出波形出现饱和或截止失真时,晶体管的管压降VCE怎样变化?

答:饱和失真时Uce减小Ic增大,截止失真时Uce增大Ic减小。 6、改变静态工作点对放大电路的输入电阻Ri有否影响?改变外接电阻RL对输出电阻RO有否影响?答:因为Ri≈Rbe‖Rb1‖Rb2;Ro≈Rc,所以对输入电阻有影响对输出电阻吴影响。 7、在测试AV,Ri和RO时怎样选择输入信号的大小和频率?为什么信号频率一般选1KHz,而不选100KHz或更高? 答:应该选Ui=10mv作用f=1KHZ左右,因为,试验电路为阻容耦合单管共射放大电路,阻容耦合单管放大电路的下限频率fL越小电路的低频响应越好,所以采用1KHZ而不用更高的 8.单管共射级放大电路测试中,如果将函数信号发生器,交流毫伏表,示波器中任一仪器的二个测试端子接线换位,将会出现什么问题? 答:对于函数信号发生器:如果有波形输出,例如正弦波,则在示波器端的显示是反相。 交流毫伏表:正电流显示为负电流,负电流显示为正电流,容易造成仪器损坏。 示波器:只是显示的通道不同了而已,没影响。 三、原理说明 图9-1为射极偏置单管放大电路。它由Rb1和Rb2组成分压电路,并在发射极中接有电 阻Re,以稳定放大器的静态工作点。当在放大电路的输入端加入输入信号vi后,在放大电 路的输出端便可得到一个与vi相位相反,幅值被放大了的输出信号vo,从而实现电压放 大。 图9-1

相关主题
文本预览
相关文档 最新文档