当前位置:文档之家› 数电课设—智力竞赛抢答计时器的设计

数电课设—智力竞赛抢答计时器的设计

数电课设—智力竞赛抢答计时器的设计
数电课设—智力竞赛抢答计时器的设计

目录

一.摘要 (2)

二.设计任务书 (3)

2.1 设计题目 (3)

2.2 技术要求 (3)

2.3 给定条件及元器件 (3)

2.4 设计内容 (4)

三.电路的组成及工作原理 (5)

四.元器件的选取及其引脚图和功能 (7)

五.整机电路图 (12)

六.元件明细表 (13)

七.总结 (14)

八.参考文献 (16)

一.摘要

本文是利用双D触发器74LS74和同步十进制计数器74LS192及简单门电路设计,实现智力竞赛抢答计时器,这好比一名公正的裁判员,它的任务是从若干名参赛者中确定出最先的抢答者,并要求参赛者在规定的时间里回答完问题。本设计实现的是三路抢答,其具有抢答,倒计时,及倒计时暂停功能等。

关键词:三人抢答器智力抢答

二.设计任务书

2.1 设计题目:

智力竞赛抢答计时器的设计。

2.2 技术要求:

1)设计一个三人参加的智力竞赛抢答计时器;

2)当有某一参赛者首先按下抢答开关时,相应

显示灯亮并伴有声响。此时抢答器不再接收

其他输入信号;

3)电路具有回答问题时间的功能。要求回答问

题的时间小于100秒(显示为0~99),时间显

示采用倒计时的方式,当达到限定时间时发

出声响以示警告。

2.3 给定条件及元器件:

1)要求电路主要选用中规模T T L集成电路C T74

系列;

2)电源电压为5V;

3)用LE D数码管显示时间。

2.4 设计内容:

1)电路各部分的组成和工作原理;

2)元器件的选取及其电路图和功能;

3)整机电路图;

4)元件明细表;

5)参考文献;

6)在设计过程中遇到何问题,其原因及解决办法

的心得体会。

三.电路的组成及工作原理

根据上面所说的功能要求,智力竞赛抢答计事系统的组成框图如下图所示。它主要有六部分组成:

图1 智力竞赛抢答计时器系统组成框图

1)抢答器——是智力竞赛抢答器的核心。当参

赛者的任意一位首先按下抢答开关时,抢答

器即刻接受该信号,指使相应发光二极管亮

(或音响电路发出声音),与此同时,封锁住

其他参赛者的输入信号。

2)清零装置——供比赛开始前裁判员使用。它

能保证比赛前触发器统一清零,避免电路的

误动作和抢答过程的不公平。

3)显示、声响电路——比赛开始,当某一参赛

者按下抢答器开关时,触发器接受该信号,在封锁其他抢答信号的同时,使该路的发光二极管发出亮光和蜂鸣器发出声响,以引起人们的注意。

4)计时、显示、声响电路——是对抢答者回答

问题时间进行控制电路。若规定回答为的时间小于100秒(显示为0~99),那么显示装置应该是一个二位数字显示的计数系统。

5)抢答控制器——由三个开关组成。三名参赛

者各控制一个,拨动开关使相应控制端的信号为高电平或低电平。

6)振荡电路——它应该提供给抢答器、计时系

统和声响电路工作的控制脉冲。

四.元器件的选取及其引脚图和功能

4.1 抢答控制电路

该系统由三个开关A,B,C组成,分别由三名参赛者控制。常态时开关接地,比赛时,按下开关,使该端为高电平。

4.2 抢答器

抢答器是由三个D型触发器和一个与非门组成。它的工作原理是这样的:如果参赛者A首先按下开关,使该端的输入信号为高电平,触发器1的输入端D接收该信号使输出Q为高电平,相应的为低电平,这个低电平信号同时送到与非门G1的输入端,与非门G1被封锁,使触发器的控制脉冲CP信号由于与非门G1封锁而被拒之门外,触发器F B和F C因为不具备C P脉冲信号而不接收开关 B 和C控制端送入的信号(其他两种情况类同)。因为该电路只接收第一个输入信号,即使此时其他参赛者也按下开关,但由于与非门已被封锁,信号是输入不进去的。此部分使用芯片双D触发器74LS74,其引脚图及功能表如下:

引脚图

功能表

4.3 清零装置

为了保证电路正常工作,比赛开始前,裁判员都要将各触发器的状态统一清零。本系统利用D触

发器的异步复位端来实现清零功能。该触发器的异步复位端为,低电平用一个开关J控制,正常比赛时,使,均处于高电平,用=0实现复位

功能。

4.4 计时显示声响电路

当裁判按下开关J4时,是两个74L S192芯片的置位端为零,即输出输入端的信号,若设置为0101和0000,此时74LS74端输出分别也为0101和0000,与此相连的数码管将输出5和0,随着脉冲的输入,数码管将从50往下倒计时。当有选手按下开关之后,与三个LE D灯相连的与非门输出使得74L S192芯片的清零端为1,即此时输出为00,当主持人按下开关J时,74L S192芯片的清零端部位1,数码管将不再保持输出00,又加之脉冲,计时将从99往下倒计时。若主持人,按下J2开关,74LS192芯片的输入脉冲被与非门锁住,数码管显示将不再往下降,这就是暂停功能。所用74L S192芯片的引脚图和功能表如下:

引脚图

功能表

用两片C C40192组成的一百进制减法计数器电路。由功能表可以看出,要使初值分别为5、0,只需将两个芯片的输入端分别接为0000和0101。要使电路实现倒计时(减法)功能,应使C R=0,=1,C P+=1,CP-=CP。可用C R端接电平开关来控制计时器的工作与否。声响显示电路需要在两种情况下做出反应:一种是当有参赛者按下抢答开关时,相应电路中的发光二极管亮,同时推动输出级的蜂鸣器发出声响;第二种情况是当裁判员给出“请回答”指令后,计时开始倒计时,若回答问题时间到达限定的时间,蜂鸣器发出声响。

显示电路由发光二极管与电阻串联而成,发光二极管正极接电源端,负极接D触发器的端。当某参赛者按下开关,该触发器接收该使其输出Q端的状态为高电平,相应的端为低电平,就有电流流过发光二极管使它发亮。计时系统的驱动显示电

路选用B CD-7段锁存译码/驱动器CC4511和七段数码管组成,其工作原理可参照“数字钟”的有关内容。

声响电路由两分组成:一是由门电路组成的控制电路,二是三级管驱动电路。门控电路主要由或门组成,它的两个输入,一个来自抢答电路各触发器输出的与非,它说明只要有一为低电平,就使该与非门输出为高电平通过或门电路驱动蜂鸣器发声;另一个来自计时系统高位计数器的借位信号O B,它说明计时电路在99秒向98秒,97秒,…2秒,1秒,0秒倒计时再向99秒转化时向高位借位给出一个负脉冲经反相器得到一个高电平。这个高电平信号也能使蜂鸣器发声,为了保证电路的可靠工作,也可采用与非门构成的基本RS触发器驱动。

置数连接方法

4.5 振荡电路

本系统需要产生两种频率的脉冲信号,一种是

频率为500K Hz的脉冲信号,用于触发器CP信号驱使芯片74L S74工作;第二种频率为1H z信号用于计时电路。以上电路可用555定时器组成,也可用石英晶体组成的振荡器经过分频率得到。在软件实现过程中,可以直接使用脉冲源。

五.整机电路图

六.元件明细表

1.电阻:1KΩ*3 5.1KΩ*1 3.3KΩ*33KΩ*2

2.开关*6

3.七段数码管*2

4.门电路:与非门*5或门*1反相器*1

5.脉冲源*2

6.L E D发光二极管*3

7.芯片:74L S74*274L S192*2

8.蜂鸣器*1

9.5v电源接地装置

七.总结

7.1 元件选择问题

开关:本系统中所需要的开关均是按下之后需要恢复的开关,因此要选择复位开关。

电阻:为了使二极管正常发光或熄灭,以及引脚正确呈现高低电平,需要使用合适的电阻。这里,与二级管相连的电阻选择1千欧,与开关相连的电阻选择3千欧。

7.2 参数设定问题

信号源频率设定:倒计时电路中需要1秒倒计时倒计一次,因此信号设定为1H Z;在抢答电路中则需要较高频率,因此设定为50K HZ。电源均设定为

5V。

历时一个星期的课程设计结束了,通过这次的课程设计,我的动手能力和经验有了一定程度的提高,在数字逻辑电路技术的理论知识上也有了更深的了解。在调试的过程中,通过排除故障,我学到了不少知识,增长了许多经验,而这些都是书上学不到的。巩固和运用了本学期的《数字电子技术基础》课程中所学的理论知识和实验技能,基本掌握了常用电子电路的一般设计方法,提高了设计能力和实验技能。通过这一次的数字逻辑“抢答器”的课程设计,巩固了本学期数字逻辑课程的基本内容,对

于逻辑问题的分析及设计有了更进一步的掌握。设计过程中,对于mu lt i s im的操作有了进一步的掌握,以及对具体问题的分析,这些都是收获。同时又发现自己的很多不足,自己知识有很多的漏洞,看到了自己的实践经验还是比较缺乏,理论联系实际的能力还急需提高。

这次由于我们的学业不精和时间等客观问题,未能使设计达到完善,还有很多缺点和错误,希望老师能提出改进意见,谢谢老师的辛勤栽培,今后我将更加努力。

八.参考文献

[1]阎石.数字电子技术基础.第五版.北京:高等教育出版社,2005.

[2]刘丽君,王晓燕.电子技术基础实验教程.南京:东南大学出版社,2008.10. [3]李维.数字电路课程设计及实验.大连:大连理工大学出版社,2008,9.

[4]许小军.数字电子技术实验与课程设计指导.南京:东南大学出版社,2007,5.

多路智力竞赛抢答器

黄冈师范学院 本科生毕业论文 题目: 多路智力竞赛抢答器的设计专业班级:电子信息科学与技术2011级03班学号:201122340321 学生姓名:叶林飞 指导教师:刘珊 论文完成日期: 2015 年 5 月

郑重声明 本人的毕业论文是在指导老师刘珊的指导下独立撰写并完成的。毕业论文没有剽窃、抄袭、造假等违反学术道德、学术规范和侵权行为,如果有此现象发生,本人愿意承担由此产生的各种后果,直至法律责任;并可通过网络接受公众的查询。特此郑重声明。 毕业论文作者(签名): 年月日

目录 1 绪论 (3) 1.1研究目的与意义 (3) 1.2研究内容 (3) 2电路原理设计 (4) 2.1 八路抢答电路设计 (6) 2.2定时电路设计 (9) 2.3声响电路的设计 (14) 3 Multisim仿真与制作 (16) 3.1抢答仿真 (16) 3.2定时抢答仿真 (18) 3.3超时抢答仿真 (19) 3.4仿真结果分析 (20) 结束语 (21) 附录 (22) 附录一:元件清单 (22) 附录二:总电路图 (23) 参考文献 (24) 致谢 (25)

基于数字电路多路智能竞赛抢答器原理与设计专业:电子信息科学与技术班级:电科1103 姓名:叶林飞指导老师:刘珊 摘要 随着社会的不断发展,对人才的要求更加急迫,而在高校同学们学科学、学技术、学知识的手段多种多样,知识竞赛之类的活动愈加频繁,而在竞赛中往往分为几组参加,这时针对主持人提出的问题进行抢答。如果要是让抢答者用举手等方法,会因为主持人的主观误断造成比赛的不公平性。比赛中为了准确、公正、直观地判断出第一抢答者,这就必然离不开抢答器。作为一种电子产品,在市场上很多,但价格昂贵,还不能根据具体情况修改一些参数,如答题时间设置,选手的增加等问题不好解决。为此根据实际需要设计了简易的数字抢答器,这样不仅节约成本,这样也能满足具体实际需要[1]。 本文介绍了一种同时供八位选手比赛的抢答器,本设计的数字抢答器由优先编码电路、锁存器、译码电路、显示电路、定时电路及用控制电路组成,能实现8位选手抢答,满足了学校同学们知识竞赛的要求。 关键词: 数字电路; 抢答电路;倒计时电路;报警电路;秒脉冲产生电路

电子抢答器数电课程设计

设计题目:智力竞赛电子抢答器 设计要求及技术指标: 1、抢答器电路分为抢答电路设计和必答电路设计。 2、抢答电路设计具体要求: (1)抢答组数分为四组,序号为1~4。 (2)优先判断与指示电路设计。 (3)主持人清除上次抢答结果的电路设计。 (4)主持人给选手打分电路与选手得分累计显示电路设计。 3、必答电路设计具体要求: (1)30秒必答倒计时电路设计。 (2)超时短暂报警。 第 1 章电路设计简介 1 原理电路设计: 1.1 电路总体工作框图 图1:课程设计的总体框图

整个电路框图如上图所示,主要可分为三个部分,第一部分为四路选手抢搭电路,这部分电路主要采用了四个74LS595来实现四位选手仅选可选通一路的功能实现,并结合使用多个四路与非门(即74LS10)来实现其对计时电路的控制。第二部分为计时电路,其通过两个级联的74LS161与555定时器来实现计时功能,并通过其对于声音警示电路控制。第三部分为选手计分电路,需用了可加可减的74LS192来实现对于选手的加减积分功能。整个电路在学校提供的开发板上,其中所需使用的LED灯、按钮按照开发板作相应的调整。 第 2 章硬件电路的组成 1电路工作原理 当第一位选手按下按钮,74LS373锁存器构成的选通电路就会将低电平传至二极管led的负极,此时若有其他选手再按下按钮,其会导致74LS373处于锁存数据状态,从而使已经亮的小灯不会受到其他选手按钮的影响,另一方面已经选通一路会通过锁存器阻止其他路数据的传入。另一方面四位按钮通过控制74LS161来实现对计时电路的控制,以使得当有选手按下按钮时计时才开始。 计时电路由两个异步清零的模十计数器构成,对其清零通过对于其清零端与使能端置低电平完成,而两位已内置好74LS48的数码管通过与74LS161的输出管脚来实现时间的显示。因为电路要求30秒计时,所以使用计时十位的74LS161的低两位作为是否到达30s的判断信号,当Q1、Q0均为1的时候就是到达30s,此时通过与非门输出来控制扬声器以及停止计时。 选手计分电路通过使用可加可减的74LS192来完成,当其5管脚为上升沿是,其数值加一;而当4管脚为上升沿时,其数值减一。而上升的产生则通过使用电源、地与一个按钮完成。选手分数的显示通过使74LS192的输出管脚与已内置好74LS48的数码管相连来完成。 总体电路的设计思路如上,后期搭建电路过程中依据实际情况做了小幅调整,当整体思路未变。 1.1总体电路原理图如下:

《数字电路课程设计》

实验三旋转灯光电路与追逐闪光灯电路 一、实验目的 1.熟悉集成电路CD4029、CD4017、74LS138的逻辑功能。 2.学会用74LS04、CD4029、74LS138组装旋转灯光电路。 3. 学会用CD4069、CD4017组装追逐闪光灯电路。 二、实验电路与原理 1.旋转灯光电路: 图3-1 旋转灯光电路 将16只发光二极管排成一个圆形图案,按照顺序每次点亮一只发光二极管,形成旋转灯光。实现旋转灯光的电路如图3-1所示,图中IC1、R1、C1组成时钟脉冲发生器。IC2为16进制计数器,输出为4位二进制数,在每一个时钟脉冲作用下输出的二进制数加“1”。计数器计满后自动回“0”,重新开始计数,如此不断重复。 输入数据的低三位同时接到两个译码器的数据输入端,但是否能有译码器输出取决于使能端的状态。输入数据的第四位“D”接到IC3的低有效使能端G2和IC4的高有效使能端G1,当4位二进制数的高位D为“0”时,IC4的G1为“0”,IC4的使能端无效,IC4无译码输出,而IC3的G2为“0”,IC3使能端全部有效,低3位的CBA数据由IC3译码,输出D=0时的8个输出,即低8位输出(Y0~Y7)。当D为“1”时IC3的使能端处于无效状态,IC3无译码输出;IC4的使能端有效,低3位CBA数据由IC4译码,输出D=1时的8个输出,即高8位输出(Y8~Y15)。 由于输入二进制数不断加“1”,被点亮的发光二极管也不断地改变位置,形成灯光地“移动”。改变振荡器的振荡频率,就能改变灯光的“移动速度”。

注意:74LS138驱动灌电流的能力为8mA,只能直接驱动工作电流为5mA的超高亮发光二极管。若需驱动其他发光二极管或其他显示器件则需要增加驱动电路。 2. 追逐闪光灯电路 图 3-2 追 逐 闪 光 灯 电 路 ( 1) . CD 401 7 的 管 脚功能 CD4017集成电路是十进制计数/时序译码器,又称十进制计数/脉冲分频器。它是4000系列CMOS数字集成电路中应用最广泛的电路之一,其结构简单,造价低廉,性能稳定可靠,工艺成熟,使用方便。它与时基集成电路555一样,深受广大电子科技工作者和电子爱好者的喜爱。目前世界各大通用数字集成电路厂家都生产40171C,在国外的产品典型型号为CD4017,在我国,早期产品的型号为C217、C187、CC4017等。 (2)CD4017C管脚功能 CMOSCD40171C采用标准的双列直插式16脚塑封,它的引脚排列如图3-3(a)所示。 CC4017是国标型号,它与国外同类产品CD4017在逻辑功能、引出端和电参数等方面完全相同,可以直接互换。本书均以CD40171C为例进行介绍,其引脚功能如下: ①脚(Y5),第5输出端;②脚(Y1),第1输出端,⑧脚(Yo),第0输出端,电路清零 时,该端为高电平,④脚(Y2),第2输出端;⑤脚(Y6),第6输出端;⑥脚(Y7),第7输出端;⑦脚(Y3),第3输出端;⑧脚(Vss),电源负端;⑨脚(Y8),第8输出端,⑩脚(Y4),第4输出端;11脚(Y9),第9输出端,12脚(Qco),级联进位输出端,每输入10个时钟脉冲,就可得一个进位输出脉冲,因此进位输出信号可作为下一级计数器的时钟信号。13脚(EN),时钟输入端,脉冲下降沿有效;14脚(CP),时钟输入

4路抢答器数字电路课程设计

课题名称:数显抢答器的设计

数字电子课程设计任务书

目录 1绪论 1.1 摘要 (4) 1.1 设计题目:抢答器电路设计 (4) 1.2 设计任务和要求 (4) 1.3 方案比较 (4) 2系统总体方案及硬件设计 (5) 2.1 系统总体方案 (5) 2.2 硬件设计 (6) 3软件设计 (12) 3.1 单元电路设计 (12) 3.1.1 抢答电路 (12) 3.1.2 定时电路 (14) 3.1.3 报警电路 (15) 3.1.4 时序控制电路 (15) 4课程设计体会 (17) 5参考文献 (18)

摘要 随着我国经济和文化事业的发展,在很多竞争场合要求有快速公正的竞争裁决,例如证券、股票交易及各种智力竞赛等。在现代社会生活中,智力竞赛更是作为一种生动活泼的教育形式和方法能够引起观众极大的兴趣。而在竞赛中往往分为几组参加,这时针对主持人提出的问题,各组一般要进行必答和抢答,对必答一般有时间限制,到时有声响提示;对于抢答,要判定哪组先按键,为了公正,这就要有一种逻辑电路抢答器作为裁判员。一般抢答器由很多门电路组成,线路复杂,可靠性低,特别是抢答路数增多时,实现起来更加困难。本文介绍了一种利用数字电路实现的抢答系统,具有很强的实用性。 数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并数码管上显示选手的编号,同时扬声器给出声音提示;同时封锁输入电路,禁止其它选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。抢答器具有定时抢答的功能,且一次抢答的时间为3秒。当主持人启动“开始”键后,要求定时器立即进行减计时,并用显示器显示通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止;如果定时抢答的时间已到,而没有选手抢答时,本次抢答无效,并封锁输入电路,禁止选手超时后抢答,定时显示器上显示0并闪烁。经过布线、焊接、调试等工作后数字抢答器成形。

数电智力竞赛抢答器课程设计完全版

电子技术 课程设计 成绩评定表 设计课题:智力竞赛抢答器 学院名称:电气工程学院 专业班级:电气1503 学生:段帅朋 学号: 201523010310 指导教师: 设计地点:31-220 设计时间: 2017.6.26-2017.7.2

电子技术课程设计任务书

目录 1.绪论 (3) 1.1设计目的 (3) 1.2 设计要求 (3) 2.方案设计 (3) 2.1系统工作流程图 (3) 2.2 元器件清单 (4) 2.3主要元器件选择与分析 (5) 2.3.1 轻触开关 (5) 2.3.2 74LS192计数芯片 (5) 2.3.3共阴极数码管以及其驱动芯片74LS48 (6) 2.3.4 74LS175四路D触发器 (7) 2.3.5 555定时器 (8) 2.3.6 集成门电路 (8) 2.3.7 无源蜂鸣器 (8) 3.原理分析 (9) 3.1 抢答必答模式选择及其指示电路 (9) 3.2 抢答电路 (9) 3.3 脉冲产生电路 (10) 3.4单稳态定时电路 (11) 3.5 定时电路 (12) 3.6 音响电路 (13) 3.7整机电路分析 (13) 3.8加减分数电路 (14) 4.设计总结 (15)

1.绪论 1.1设计目的 1、注重培养学生正确的设计思想,掌握课程设计的主要容、步骤和方法。 2、巩固加深对电子技术基础知识的理解,培养学生发现问题、独立分析问题、解决问题,提高综合运用所学知识的能力。 3、通过查找资料、选方案、设计电路、写报告等环节的训练,熟悉设计的过程、步骤。为以后从事电子电路设计、研制电子产品打下基础。 4、了解电子线路设计的工程,学会书写设计说明书。 5、培养学生严肃、认真的科学态度和工作作风。 6、在课余实践,有效地激发学生对电子设计的兴趣,丰富课外生活。 7、培养学生自主学习能力,扩展知识面。 8、提高动手能力的同时对常用的集成芯片有一定的了解,在电路设计方面有感性的认识。 9、另外还要掌握电路原理和分析电路设计流程,每个电路的设计都要有完整的设计流程。这样才能在分析电路有良好的思路,便于找出错的原因。 1.2 设计要求 用TTL或CMOS集成电路设计智力竞赛抢答器逻辑控制电路,具体要求如下: 1. 抢答组数为4组,输入抢答信号的控制电路应由无抖动开关来实现。 2. 判别选组电路。能迅速、准确地判处抢答者,同时能排除其它组的干扰信号,闭锁其它各路输入使其它组再按开关时失去作用,并能对抢中者有光、声显示和呜叫指示。 3. 计数、显示电路。每组有三位十进制计分显示电路,能进行加/减计分。 4. 定时及音响。必答时,启动定时灯亮,以示开始,当时间到要发出单音调“嘟”声,并熄灭指示灯。抢答时,当抢答开始后,指示灯应闪亮。当有某组抢答时,指示灯灭,最先抢答一组的灯亮,并发出音响。也可以驱动组别数字显示(用数码管显示)。 2.方案设计 2.1系统工作流程图 系统流程图如2.1所示,控制电路是核心组成部分,它控制抢答电路、音响电路、指示灯电路以及定时电路。主要由门电路与门、与非门、或门等实现控制逻辑。主持人和参赛选手都是通过按钮输入控制信号到控制电路,通过控制电路的逻辑实现对各个模块的控制。1K脉冲主要用于触发器时钟,秒脉冲主要用于计时器。

数电课程设计抢答器

数电课程设计——抢答器 1220223 16 左杉2014.6.25 设计目的 掌握四人智力竞赛抢答器电路的设计方法。 设计任务与要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮S0 ~ S4表示。,按钮的编号与选手的编号对应。 (2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始控制开关S。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 一.抢答器的基本功能 1.设计一个抢答器,可同时供四名选手或四个代表队参加比赛,编号为一,二,三,四,各用一个抢答按钮,分别用四个开关表示。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。

3.抢答器具有数据锁存和显示的功能,抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,并且给出出声响提示,封锁输入电路,实现优先锁存,禁止其他选手抢答,优先抢答选手的编号一直保持到主持人将系统清零为止。 二.使用元件 芯片:74ls75,74ls147,74ls48,74ls08,74ls04,74ls00 其他器件:5个单刀单掷开关,一个7段数码管,一个蜂鸣器,一个三极管,导线若干。 三.具体原理为 1)抢答器电路 该部分主要是由74LS75实现的,此元件是低端有效。当四个选手抢答时,输入的信号为“1”,用74LS20将这四个选手在75芯片对应的非输出端与主持人的主控开关相与非,接入75的使能端(E0/1和E2/3),这样就通过“0”,把75锁定,完成锁定功能。

数电课程设计报告数字钟的设计

数电课程设计报告数字钟的设计

数电课程设计报告 第一章设计背景与要求 设计要求 第二章系统概述 2.1设计思想与方案选择 2.2各功能块的组成 2.3工作原理 第三章单元电路设计与分析 3.1各单元电路的选择 3.2设计及工作原理分析 第四章电路的组构与调试 4.1遇到的主要问题 4.2现象记录及原因分析 4.3解决措施及效果 4.4功能的测试方法,步骤,记录的数据 第五章结束语 5.1对设计题目的结论性意见及进一步改进的意向说明5.2总结设计的收获与体会 附图(电路总图及各个模块详图) 参考文献

第一章设计背景与要求 一.设计背景与要求 在公共场所,例如车站、码头,准确的时间显得特别重要,否则很有可能给外出办事即旅行袋来麻烦。数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确度和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。数字钟是一种典型的数字电路,包括了组合逻辑电路和时序电路。 设计一个简易数字钟,具有整点报时和校时功能。 (1)以四位LED数码管显示时、分,时为二十四进制。 (2)时、分显示数字之间以小数点间隔,小数点以1Hz频率、50%占空比的亮、灭规律表示秒计时。 (3)整点报时采用蜂鸣器实现。每当整点前控制蜂鸣器以低频鸣响4次,响1s、停1s,直到整点前一秒以高频响1s,整点时结束。 (4)才用两个按键分别控制“校时”或“校分”。按下校时键时,是显示值以0~23循环变化;按下“校分”键时,分显示值以0~59循环变化,但时显示值不能变化。 二.设计要求 电子技术是一门实践性很强的课程,加强工程训练,特别是技能的培养,对于培养学生的素质和能力具有十分重要的作用。在电子信息类本科教学中,课程设计是一个重要的实践环节,它包括选

数字逻辑电路课程设计抢答器

西安邮电学院 数字电路课程设计报告书 ——数字抢答器 学院名称:电子工程学院 学生姓名: 专业名称: 班级: 实习时间: 数字电路课程设计 ------------数字抢答器 一、课程设计题目 数字式抢答器 二、设计任务和要求 1.抢答器同时可供4路参赛选手同时抢答,分别用4个按钮S0~S3来控制。

2. 设置一个主持人开关,用来控制抢答的开始和结束。 3. 抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在数码管上显示,选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 4. 抢答器具有定时抢答功能,且一次抢答的时间为10秒。当主持人启动"开始"键后,定时器采用倒计时计数到0。 5. 参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,绿灯亮,数码管上显示选手的编号和剩余抢答的时间,并保持到主持人将系统清除为止。 6. 如果定时时间已到(计数至0),有人抢答,则为超时抢答。红灯亮,并在数码管管上显示该抢答选手。 7.如果主持人未启动“开始”键,有人抢答,为提前抢答。显示其号码,此时红灯亮提示。 三、数字抢答器总体方案 1. 接通电源后,主持人将开关拨到"高电平"状态,抢答器处于禁止状态,编号显示器显示为0,定时器显示为0。此时, 若有人抢答, 为违规抢答数码管显示其编号, 并红灯警告.定时器显示不变。 2.主持人将开关置于”开始”状态,宣布"开始"抢答,抢答器工作, 定时器倒计时, 选手在定时时间内抢答时,抢答器完成, 编号锁存, 编号显示, 绿灯亮表示抢答有效。

3.若在10秒内无人抢答,10秒后抢答器自动锁定,计数器停止计数,抢答无效。如果再次抢答必须由主持人再次操作"开始"状态开关。 四、单元电路设计 1.抢答器电路的设计 (即完成锁存和显示功能) (1)抢答, 锁存电路: 在这一部分,最主要的是锁存电路,锁存电路主要由74LS75来实现,当74LS75的4,13号管角的信号为使能端,当为“0”时,它将保持原来的状态: 74LS75的管脚图和真值表: 有一组队员按下开关后,Q1,Q2,Q3,Q4中有一个信号为0,则它们四个通过与门后的信号为0,接入E12和E34,7475实现锁存功能,保持状态不变。 对于译码电路,当那个队员抢上后,要显示他的组号,必须把次信号转换为二进制代码。其关系为: 由上真值表知: A= ~Q0 + ~Q2; B= ~Q1+ ~Q2;

智力竞赛抢答器设计

智力竞赛抢答器设计

项目任务书题目:智力竞赛抢答装置的设计与调试 系部电子信息工程学院 学科门类工学 专业光电信息工程 2014年12月15日

智力竞赛抢答装置的设计与调试 摘要 随着科学技术的不断发展,促使人们学科学、学技术、学知识的手段多种多样。抢答 器作为一种工具,已广泛应用于各种智力和知识竞赛场合。但抢答器的使用频率较低,且有的要么制作复杂,要么可靠性低,减少兴致。做为一个单位若专购一台抢答器虽然在经济上可以承受,但每年使用的次数极少,往往因长期存放使(电子器件的)抢答器损坏, 再购置的麻烦和及时性就会影响活动的开展,因此设计了本抢答器。 数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。 关键词:抢答电路定时电路报警电路时序控制

目录 一引 言 (1) 1.1课题来源及意义 (2) 1.2 研究现状及趋 势 (3) 二系统设计 (4) 2.1概述 (5) (阐述抢答器的系统原理,包括综述,组成框图及各部分介绍) 2.2方案比较 (6) (总结各个方案的优缺点,比较几种方案) 2.3 方案确定 (7) (通过上节内容中的几种方案的比较,得出最优方案,并详细介绍)三电路设计与调试 (8) (包括单元电路设计,参数计算,元器件选型,最终得出总电路图,并阐述调试方法与过程)

四总结与展 望 (9) (总结你的设计方案的优缺点,并提出改进方案) 4.1总结 (10) 4.2展 望 (11) 参考文献 (12) 附录 (13) (附系统总体电路图,用正规软件绘制)

数电课程设计(抢答器)

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目: 多路数字定时抢答器设计 初始条件: 本课程设计,要求用集成电路:74LSl48,74LS279,74LS48,74LSl92,NE555,74LS00,74LSl21和其它器件等,实现八路定时抢答功能。用蜂鸣器作声电器件,工作电源Vcc为+5V。 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周内完成对多路数字定时抢答器的设计、仿真、装配与调试。 2、技术要求: ①可同时供8名选手(或代表队)参赛,其编号分别是0到7,各用一个抢答按钮,按钮的 编号与选手的编号相对应。给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 ②抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存, 并数码管上显示选手的编号,同时扬声器给出声音提示;同时封锁输入电路,禁止其它选手抢答。 优先抢答选手的编号一直保持到主持人将系统清零为止。 ③抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定(如30秒)。当主持人启 动“开始”键后,要求定时器立即进行减计时,并用显示器显示,同时扬声器发出短暂的声响,声响持续时间0.5秒左右。 ④参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号 和抢答时刻的时间,并保持到主持人将系统清零为止;如果定时抢答的时间已到,而没有选手抢答时,本次抢答无效,系统进行短暂的报警,并封锁输入电路,禁止选手超时后抢答,定时显示器上显示00。 ⑤确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出 总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全 文用A4纸打印,图纸应符合绘图规范。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

数电课程设计题目汇总资料

数电课程设计题目选 一、设计并制作一数字式温度计 〖基本要求〗采用电桥法,利用PT~100热电阻对0~200℃测温范围进行测量并送LED数码管显示,要求测量分辨率为0.1℃,数据测量间隔时间为5秒。 〖提高要求〗1)针对不同的铂热电阻讨论不同的温度信号测量办法 2)利用电路对测温电路进行非线性校正,提高测温精度(电路非线性校正和EPROM查表法非线性校正两种方法) 3)讨论误差的形成因素和减少误差的措施 4)进行简单的温度开关控制 〖参考原理框图〗系统参考原理框图如下: 〖主要参考元器件〗 MCl4433(1),LM324(1),七段数码管(4),CD4511(1),MC1413(1),铂热电阻使用普通 精密电位器代替。 二、十二小时电子钟 〖基本要求〗利用基本数字电路制作小时电子钟,要求显示时分秒;并能实现校时和校分的功能。 〖提高要求〗1)针对影响电子钟走时精度的因素提出改进方案 2)增加日期显示 3)实现倒计时功能 4)整点报时(非语音报时) 5)定时功能 〖参考原理框图〗:

三、电平感觉检测仪 〖基本要求〗:采用光电式摇晃传感器,其检测范围为±90℃,每摇晃一度传感器就输出一个脉冲信号给计数单元,在给定时间内测量到的脉冲数目就能表明该人的电平感觉,测试时采用头戴式传感器、闭上双目,单脚立地:保持静止,开始测试。定时时间为1分钟 〖提高要求〗 〖参考原理、框图〗: 〖主要参考元器件〗CD4060,555,74LS74 四、便携式快速心律计 基本要求〗利用数字电路制作一便携式快速心律计,用于在较短时间内测量脉搏跳动速率:并使用LED显示。 〖提高要求〗1)提高测量精度的方法 2)设计能比较准确测量1S内心跳的电路 〖参考原理框图〗 〖主要参考元器件〗CD4060,4528,4518;4511,14526 五、数字式定时开关 〖基本要求〗设计并制作一数字式定时开关,此开关采用BCD拨盘预置开关时间,其最大定时时间为9秒,计数时采用倒计时的方式并通过一位LED数码管显示。此开关预置时间以后通过另一按钮控 制并进行倒计时,当时间显示为0时,开关发出开关信号,输出端呈现高电平,开关处于开态,再按按钮时,倒计时又开始。计时时间到驱动扬声器报警。 〖提高要求〗l)输出部分加远距离(100m)继电器进行控制 2)延长定时时间 3)探讨提高定时精度的方法 〖参考原理框图〗 〖主要参考元器〗:CC4511,CC14522,CD4060

8路数字抢答器课程设计报告

学号: 课程设计 题目八路数字抢答器 学院信息工程学院 专业通信工程 班级 姓名 指导教师 2010年 7月 9日

课程设计任务书 题目:八路数字抢答器 起始条件:要求对数字电路里555电路的运用有所了解,同时熟悉计数电路和译码电路的运用,还要能够运用Multisim软件进行电路的仿真。 要求完成的主要任务: 1.运用数字电路设计一个能够满足特定要求的八路抢答器 2.绘制电路原理图并进行仿真,要求在报告中画出正确的波形。3.按照所画的原理图,在仿真的基础上焊接实物并进行调试。 时间安排: 编号 任务时间 1 查资料、原理图设计3天 2 仿真电路并修改 3天 3 实物焊接与调试5天 4 报告撰写 2天 5 答辩1天 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 课程设计任务书 (2) 摘要 (4) Abstract (5) 一、实验目的 (6) 二、设计要求与内容 (6) 三、设计及原理 (7) 3.1 总体方案设计 (7) 3.1.1 设计思路 (7) 3.1.2 总电路框图 (7) 3.2 各模块设计方案及原理说明 (8) 3.2.1 抢答电路 (8) 3.2.2 倒计时电路 (12) 四、电路仿真 (13) 4.1 抢答电路 (13) 4.2 倒计时电路 (15) 五、实验结果及分析 (17) 六、收获、体会和建议 (19) 附录 (21) 1.总电路图 (21) 2. 元件引脚图 (22) 3.元器件清单 (24) 主要参考文献 (25)

摘要 抢答器作为一种工具,已经广泛应用于各种智力和知识竞赛场合。本设计以八路智力竞赛抢答器为基本概念,从实际应用出发,利用电子设计自动化( EDA)技术,用数字、模拟电子器件设计具有扩充功能的抢答器。该抢答器的设计利用Multisim11完成了原理图设计和电路仿真,具有数字显示、倒计时显示、编码译码功能,应用效果良好。 关键词: 电子设计自动化;数字电子技术;抢答器;仿真

智力竞赛抢答器

抢答器是竞赛问答中的一种常用的必备装置,从原理上讲,它也是一种典型的数字电路,包括了组合逻辑电路和时序逻辑电路。 一、设计目的 1. 掌握抢答器的设计方法。 2. 熟悉锁存器的应用。 3. 了解学习触摸开关和发声元件。 二、设计指标 (1) 可供四组抢答,有人抢答时,蜂鸣器发声,同时优先抢答者对应的指示灯亮,而后抢答者对应的指示灯不亮。 (2) 主持人具有将抢答器复原的功能。 (3) 抢答者和主持人的按钮开关采用触摸按钮,蜂鸣器用压电陶瓷蜂鸣器作发声元件。 三、设计提示及参考电路 1.抢答器的基本工作原理 智力竞赛抢答器是用来判断哪一个预定状态首先发生的电路,图10-1所示是抢答器的原理框图,主要由开关阵列电路、触发锁存电路、显示电路几部分构成。 开关阵列电路触发 锁存 电路 显示 电路

图10-1 抢答器组成框图 开关阵列电路是由多路开关组成,竞赛者与开关相对应。 触发锁存电路是当某一开关首先按下时,触发锁存电路被触发,在输出端产生相应的开关电平信息,同时为防止其它开关随后触发而产生紊乱。 显示电路即按钮开关按下时对应的指示灯亮。 图10-2是用CMOS四D锁存器和门电路组成的四组抢答器。抢答开始前,抢答者的四个按钮S0~S3路均末按下,锁存器CC4042的输入端D0~D3都为0,主持人通过复位按钮S0使时钟端CL为l,因此锁存器清零,四个发光二极管均不亮:同时G1门输出为0,蜂鸣器不发声。 图10-2 四路抢答器原理图 当有人抢答时,例如按钮S1被按下,锁存器的D1输入端为1,对应的输出端Ql由0变为1,经CC4049反相后驱动对应的发光二极管发光;同时Q1’由1变为0,使G1门输出为1,蜂鸣器因此而发声,表示S1对应的选手优先抢答成功。G1门输出的高电平经过G2门后使CC4042的时钟端CL由1变0(此时主持人的按钮S4已经断开),从而使其由接收状态转为锁存状态,禁止后抢答者的信号存入锁存器,因此即使其他选手再按下所对应的按钮也不起作用。 2.触摸按钮 图10-3是两种自制的触摸按钮的原理图。触摸开关是两块距离约为1mm彼

数电课程设计报告-抢答器

课程设计报告 题目数字抢答器设计 课程名称数字电子技术院部名称龙蟠学院 专业自动化 班级M11自动化学生姓名王栋明 学号1121112015指导教师赵国树 金陵科技学院教务处制

目录 第一章设计要求 (5) 第二章总体参考方案 2.1 总体参考方案框图 (5) 2.2 总体参考时序逻辑 (6) 第三章单元电路设计 3.1 定时电路 3.1.1 电路设计 (6) 3.1.2 元件说明 (7) 3.2 开关阵列电路 3.2.1 电路设计 (8) 3.3 门控电路 3.3.1 电路设计 (8) 3.2.2 元件说明 (9) 3.4 编码电路 3.4.1 电路设计 (11) 3.4.2 元件说明 (12) 3.5 报警电路 3.5.1 电路设计 (13) 3.5.2 元件说明 (13) 3.6 显示电路

3.6.1 电路设计 (13) 3.6.2 元件说明 (13) 第四章整体电路图 (15) 第五章各部分功能的实现 5.1 按下S1启动定时30S,开始抢答,LED灯亮 (15) 5.2 某位选手抢答有效 (16) 5.3 清零、灭灯、复位 (16) 第六章心得体会

第一章设计要求 1. 数字抢答器应具有数码锁存、显示功能,抢答组数分为八组,即序号0、1、 2、3、4、5、6、7,优先抢答者按动本组开关,组号立即锁存到LED显示器上,同时封锁其它组号。 2. 系统设置外部清除键,按动清除键,LED显示器自动清零灭灯。 3. 数字抢答器定时为30秒,启动起始键后,要求:①30秒定时器开始工作; ②蜂鸣器要短暂报警;③发光二极管亮灯。 4. 抢答者在30秒内抢答,抢答有效,终止定时;30秒定时到,无抢答者本次抢答无效,系统短暂报警,发光二极管灭灯。 第二章总体参考方案 2.1、总体参考方案框图 2.2、总体参考时序逻辑 它包括定时电路、门控电路、译码显示电路、8线-3线优先编码器、RS锁存器和报警电路等六个部分组成。其中定时电路、门控电路、译码显示电路及8线-3线优先编码器三部分的时序配合尤为重要,当启动外部操作开关(起始键)时,定时器开始工作,同时打开门控电路,输出有效,8线-3线优先编码器等待

数字竞赛抢答器课程设计Verilog语言实现

数字竞赛抢答器课程设计Verilog语言实现

可编程器件与应用课程设计报告 姓名:XXX 学号:XXXXXXXXXX 专业班级:信息XXX 题目:数字式竞赛抢答器 指导老师:

一、绪论 背景: 随着电子技术的发展,可编程逻辑器件(PLD)的出现,使得电子系统的设计者利用EDA(电子设计自动化)软件,就可以独立设计自己的专用集成电路(ASIC)器件。可编程逻辑器件是一种半导体集成器件的半成品。在可编程逻辑器件的芯片中按一定方式(阵列形式或单元阵列形式)制作了大量的门、触发器等基本逻辑器件,对这些基本器件适当地连接,就可以完成某个电路或系统的功能。 数字式竞赛抢答器控制系统是工厂、学校和电视台等单位举办各种智力竞赛等娱乐活动中经常使用的重要基础设备之一。目前设计抢答器的方法很多,例如用传统的PCB板设计、用PIC 设计或者用单片机设计。而用Verilog可以更加快速、灵活地设计出符合各种要求的抢答器,优于其他设计方法,使设计过程达到高度自动化。本文介绍的4路数字式竞赛抢答器基于Verilog 语言、以EDA技术作为开发手段、采用CPLD (复杂的可编程逻辑器件)作为控制核心设计而成。与传统设计相比较,不仅简化了接口和控制,

也提高了系统的整体性能和工作可靠性,具有电路简单、成本低廉、操作方便、灵敏可靠等优点。意义: 数字式竞赛抢答器作为一种电子产品,早已广泛应用于各种智力和知识竞赛场合,但目前所使用的抢答器存在分立元件使用较多,造成每路的成本偏高,而现代电子技术的发展要求电子电路朝数字化、集成化方向发展,因此设计出数字化全集成电路的多路抢答器是现代电子技术发展的要求。 二、实现方案 设计要求: 1、设计一个可容纳4组参赛的数字式抢答器,每组设一个按钮,供抢答使用。 2、抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。 3、设置一个主持人“复位”按钮。 4、主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,有指示灯显示抢答组别,扬声器发出2~3秒的音响。 5、设置一个计分电路,每组开始预置5分,由主持人记分,答对一次加1分,答错一次减1分。

四路智力竞赛抢答器设计

吉林建筑大学 电气与电子信息工程学院 数字电子技术课程设计报告 设计题目:四路竞赛抢答电路 专业班级:信工131班 学生姓名: 学号: 指导老师: 设计时间: 教师评语: 成绩:评阅老师日期

前言 关于这次设计的用于多人竞赛抢答的器件,在现实生活中很常见,尤其是在随着各种智益电视节目的不断发展,越来越多的竞赛抢答器被用在了其中,这种抢答器的好处是不仅能够锻炼参赛选手的反应能力,而且能增加节目现场的紧张、活跃气氛,让观众看得更有情趣。可见抢答器在现实生活中确实很实用,运用前景非抢答器的设计与制作智力竞赛是一种生动活泼的教育形式和方法,通过抢答和必答两种方式能引起参赛者和观众的极大兴趣,并且能在极短时间内,使人们增加一些科学知识和生活常识。常广泛。 在知识竞赛中,特别是做抢答题时,在抢答过程中,为了知道哪一组或哪一位选手先答题,必须要有一个系统来完成这个任务。如果在抢答中,只靠人的视觉是很难判断出哪组先答题。这次设计就是用几个触发器以及三极管巧妙的设计抢答器,使以上问题得以解决,即使两组的抢答时间相差几微秒,也可分辨出哪组优先答题。本文主要介绍了抢答器的工作原理及设计,以及它的实际用途。

目录 前言 (4) 一 . 课程设计目的 (5) 二 . 课程设计题目与内容 (5) 三 . 系统设计方案 (7) 四 . 电路工作原理 (8) 五 . 单元电路设计参数计算及元器件选择 (11) 六 . 完整电路图 (16) 七 .需要的元器件清单: (17) 八 . 总结与体会 (18) 九 . 参考文献 (20)

一、课程设计目的: 数字电子技术课程设计是数字电子技术课程的实践性教学环节,是对学生学习数字电子技术的综合性训练,这种训练是通过学生独立进行某一课题的设计、安装和调试来完成的,训练学生综合运用学过的数字电子技术的基本知识,独立设计比较复杂的数字电路的能力。 通过数字电路课程设计使学生做到: 1、综合运用电子设计课程中所学到的理论知识,独立完成一个设计课题。 2、通过查阅手册和文献资料,培养学生独立分析和解决实际问题的能力。 3、了解常用电子器件的类型和特性,并掌握合理选用的原则。 4、学会电子电路的安装与调试技能,掌握电子电路的测试方法。掌握常用电子仪器的使用方法。 5、学会撰写课程设计总结报告。

数电课程设计报告《八路数字抢答器》

数字电路课程设计 数字抢答器 设计报告 姓名: 学号: 专业:电子信息工程系 指导教师: 2012年04 月18 日

八路数字抢答器 一、设计目的及任务 1.设计的目的 通过八路数字抢答器的设计实验,要求大家回顾所学数字电子技术的基础理论和基础实验,掌握组合电路、时序电路、编程器件和任意集成电路的综合使用及设计方法,熟悉掌握优先编码器、触发器、计数器、单脉冲触发器、555电路、译码/驱动电路的应用方法,熟悉掌握时序电路的设计方法。达到数字实验课程大纲所要求掌握的基本内容。 2.设计的任务及要求 (1)基本功能 ①设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参赛,他们的选号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号对应分别是S0、S1、S2、S3、S4、S5、S6、S7。 ②给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答器的开始。 ③抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持主持人将系统清零为止。(2)扩展功能 ①抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定(如30秒)。当节目支持人启动“开始”键后,要求定时器立即减计时,并用显示器显示。 ②参加选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 ③如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显示器上显示00。 二、电路设计总方案及原理框图 1. 设计思路 (1)本题的根本任务是准确判断出第一抢答者的信号并将其锁存。实现这一功能可选择使用触发器或锁存器等。在得到第一信号之后应立即将电路的输入封锁,即使其他组的抢答信号无效。同时还必须注意,第一抢答信号应该在主持人发出抢答命令之后才有效。

8路抢答器的设计报告(数字电路课程设计)资料

《数字电子技术》课程设计报告 8路智力抢答器 设计与制作 设计要求: 1、可同时供8名选手或8个代表队参加比赛; 2、主持人控制系统的清零(编号显示数码管灭灯)和抢答 的开始; 3、抢答器具有数据锁存和显示的功能; 4、抢答器具有定时抢答的功能,且一次抢答的时间可以由 主持人设定; 5、具有报警功能。 成绩:评阅人: XX科技学院理学院

8路智力抢答器 设计与制作 8路智力抢答器是一种用数字电路技术实现由主持人控制、定时抢答、报警功能的装置。他是在规定的时间内进行抢答。一旦有人抢答,显示器上会同时显示抢答时间和抢答选手号码。当超出规定时间时,即使抢答,不会显示选手号码。 8路智力抢答器包括组合逻辑电路和时序电路。通过此次设计与制作,进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于8路智力抢答器包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。 一、设计要求 (一)设计指标 1、计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,他们的编号分别是0、1、 2、 3、 4、 5、 6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S0——S7。 2、给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 3、抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管行显示出选手的编号,

同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 4、抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定(如30s)。当节目主持人启动“开始”键后,要求定时器立即减计时,并用显示器显示,同时扬声器发出短暂的声响。 5、参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 6、如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显示器上显示00。 (二)设计要求 1、画出电路原理图(或仿真电路图); 2、元器件及参数选择; 3、电路仿真与调试; (三)制作要求自行装配和调试,并能发现问题和解决问题。 (四)编写设计报告写出设计与制作的全过程,附上有关资料和图纸,有心得体会。 二、原理框图 抢答器系统原理框图如下所示。它由主体电路和扩展电路两部分组成,主体电路完成基本抢答后,选手按动抢答键时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答,扩展电路完成定时

数字抢答器课程设计

数字抢答器 摘要 当今的社会竞争日益激烈,选拔人才,评选优胜,知识竞赛之类的活动愈加频繁,那么也就必然离不开抢答器。因此抢答器是机关学校、电视台等单位开展智力竞赛活动必不可少的设备,通过抢答者的按键、数码显示等能准确、公正、直观地判断出优先抢答者。本产品采用了数字显示器直接指示,自动锁存显示结果,并自动复位的设计思想,由数字电路以及外围电路组成,分为八路抢答;在抢答同时附有声音输出接口,提示主持人此时已完成这次的抢答。不仅如此,为了方便不同场合的智力竞赛活动,为需要定时答题者提供可调计时器,无需人工参与。与其他抢答器电路相比较有分辨时间极短、结构清晰、成本低、易操作、制作方便等优点。 关键词:竞赛活动、抢答、锁存、复位、可调倒计时

目录 1 引言 (3) 2 设计目的 (3) 3 设计指标及要求 (3) 4 总体框图设计与论证 (4) 5 功能模块设计及系统工作分析 (5) 5.1功能模块设计 (5) 5.2 系统工作原理分析 (6) 5.3主要元器件功能介绍 (7) 5.3.1锁存器(74HC573) (7) 5.3.2优先编码器(74LS147) (8) 5.3.3计数器(74LS192) (9) 5.3.4显示译码器(CD4511、74LS48) (10) 6 实验器材清单 (13) 7 设计步骤及各功能电路调试 (14) 7.1 仿真 (15) 7.2 调试锁存器电路 (15) 7.3 调试编码与译码显示电路 (16) 7.4调试控制电路 (16) 7.5秒脉冲 (16) 7.6调时电路 (17) 8 心得体会 (17) 谢辞 (19) 参考文献 (20) 附录A: (21) 附录B: (22)

相关主题
文本预览
相关文档 最新文档